资讯

调研:台积电稳坐纯晶圆代工龙头,2016 囊括过半营收狠甩对手; 半导体行业观察调研机构 IC......
台积电明年成熟制程降价2%; 【导读】近期IC设计业陆续传出消息,晶圆代工龙头台积电在相隔三年后,明年针对部分成熟制程,恢复给予小幅度价格折让。 近期......
市场预估将年增9%   调研机构IC Insights预估,今年全球晶圆代工市场将成长9%,表现优于全球整体IC市场的衰退2%。其中,晶圆代工龙头台积电市占率将达58%,虽较去年的59%略减,但营......
台积电、三星两大晶圆代工龙头最新产能规划、先进制程进展曝光!;下游消费电子疲软的市场行情持续冲击上游半导体产业,晶圆代工亦不可避免地受到冲击。与此同时,AI、HBM等应用风生水起,为业......
还受惠于有越来越多中型半导体公司包含超微、Avago(现称Broadcom)等,过去几年来都已转型采用无厂商业模式。 台积电稳居晶圆代工龙头,去年营收成长11%至294.9亿美元,市占率逼近六成(59......
台积电、三星两大晶圆代工龙头最新产能规划、先进制程进展曝光!; 【导读】下游消费电子疲软的市场行情持续冲击上游半导体产业,晶圆代工亦不可避免地受到冲击。与此同时,AI、HBM等应......
晶圆代工龙头联电布局第三代半导体领域;12月29日,据台媒报道,联电已通过投资联颖,切入第三代半导体领域。联电计划从6英寸氮化镓产品入手,之后将展开布局碳化硅,并向8英寸晶圆发展。公司透露,第三......
台积电三季度财报19日公布,高盛、小摩等乐观看增; 【导读】台积电将于10月19日召开法说会,公布2023年第三季度财报数据。由于台积电是全球晶圆代工龙头企业,并且......
台系晶圆代工厂9月营收一览,同比仍降; 【导读】晶圆代工龙头台积电公布2023年9月财报,营收金额为1804.3亿元(新台币,下同),较8月减少4.4%,较去年同期减少13.4%。累计第3......
晶圆代工龙头2月营收月减18.4% 半导体周期将在下半年稳健回升;晶圆代工龙头台积电10日公布2023年2月财报,营收金额约1631.74亿元新台币,较1月减少18.4%,较2022年同......
率下滑至15.5%。 摘要:近日,全球晶圆代工龙头大厂台积电公布了今年11月份营收,金额为新台币2227.06亿元,较10月份环比增长5.9%,较2021年同期大幅增长了50.2%,再创......
2nm制程之争将全面打响,三家公司进展如何?;消费电子市场持续疲软、人工智能火热的大环境下,晶圆制造厂商积极瞄准高性能芯片,2nm先进制程之争愈演愈烈。2nm芯片能带来什么?对传统晶圆代工龙头......
%,离第三第四的格芯和联电不远。 国内晶圆代工龙头之一华虹半导体下滑幅度最小,仅-4.2%,营收8.45亿美元,主要与该公司专攻特种工艺代工有关,避开了先进工艺的影响。 后面的高塔、力积电、世界......
模拟晶圆代工龙头X-FAB与国产SiC功率器件供应商派恩杰达成携手推动全球SiC产业;2021年9月6日,模拟晶圆代工龙头企业X-FAB Silicon Foundries(“X-FAB”)和国......
胀压力和其他因素使明年需求前景蒙上了阴影,促使汽车制造商重新审视风险和成本。 此前消息称,晶圆代工龙头台积电明年涨价或存在不确定性,已有......
2023,晶圆代工台企海外扩产的关键年; 【导读】晶圆代工龙头台积电近来再加大美国、日本投资力道,联电、世界先进、力积电 等二线台厂也因为地缘政治风险升高,相继评估扩大海外设厂布局。法人......
传佩洛西密访,台积电这样回应...;据中国台湾网报道,台媒“中时新闻网”援引《华盛顿邮报》消息称,消息人士指出,美国众议院议长佩洛西“点名”将会见晶圆代工龙头董事长刘德音,将就......
晶圆代工报价持续看涨,台积电2nm价格逼近2.5万美元?;据中国台湾媒体引述业内人士消息称,晶圆代工龙头厂商台积电2纳米制程报价或逼近2.5万美元。 当前,台积电、三星、英特......
良率已经和同期5nm量产相当。 据悉,台积电作为全球晶圆代工龙头,也是全球首家宣称3nm量产良率已和5nm初期相当的厂商,实际量产技术能力并在良率稳健才持续扩产,预期良率远优于对手。 由于......
市场竞争可能更激烈。 最新报告显示,去年第3季台积电稳居全球晶圆代工龙头,市占率为57.9%,台积电整体7nm以下先进制程营收占比已达近六成;三星则位居第二,市占率为14.1%;英特尔市占率约仅1%,排名......
产能近两年来也因此呈现严重供不应求的状况。 为了满足消费性电子产品、服务器、云端、物联网、电动车/自动驾驶、5G基站等带来的巨大需求,各大晶圆厂均宣布了扩产或新建晶圆厂计划。 尤其是晶圆代工龙头......
89.6%的市场份额,二八定律显著。作为晶圆代工龙头企业,台积电以56.1%的份额包揽晶圆代工市场半壁江山,与位居晶圆代工第二、占比15.5%的拉开更大距离。 除台......
市场需求不足,韩国晶圆代工业者也热停机度小月;自2022年下半年开始,全球半导体产业不景气的庆况到达之后,在市场需求不足的情况下,一度传出晶圆代工龙头台积电关闭部分EUV极紫外光曝光设备的消息。而这......
能利用率和价格还处于较为稳固的状态。 然而,半导体市场需求自2022年Q3跌入谷底,导致芯片原厂流片意愿不强,晶圆厂的产能利用率也出现下滑。当时,全球晶圆代工龙头......
持续追赶台积电,三星第四季扩产4纳米制程;韩国媒体报导,半导体晶圆代工产业虽然因市场需求减弱,造成产能利用率下降,造成诸多杂音,先进制程却依旧供不应求,三星决定2022年第四季扩产4纳米制程,拉近与晶圆代工龙头......
苹果拉货旺季效应,台积电8月营收创历史次高!;晶圆代工龙头台积电今(10)日公布8月营收。 受惠于受惠苹果新款iPhone机型进入拉货旺季,台积电8月营收1,374.27亿元(币种:新台币,下同......
射频从业者必看,全球最大的砷化镓晶圆代工龙头解读; 版权声明:本文内容整理自网络,如您觉得不合适,请与我们联系,谢谢。 2010 年起因为从2G 进入3G 时代(2010~2013......
尔业务部门也会独立建立客户与供应商关系。这模式有望使英特尔2024年超越三星,成为第二大代工厂,收入超过200亿美元。虽然英特尔愿望与台积电2024年达850亿美元营收相形见绌,但英特尔最终目标是2030年成为晶圆代工龙头。 英特......
仍将供不应求。 展望2022年经营情况,华虹半导体介绍,2021年底公司总产能达到6.5万片/月,预计在2022年底达到9.45万片/月,其中8英寸产能利用率将维持110%以上。 值得一提的是,国内晶圆代工龙头......
“芯片一哥”中芯国际2021年营收54.43亿美元,同比增长39.3%;3月30日,国内晶圆代工龙头企业中芯国际发布2021年年度财报。中芯国际2021年总营收创下历史最高的54.43亿美元(约合......
道正在积极寻找目标客户;英特尔重返晶圆代工领域,最近公布了先进制程Intel 3工艺良率情况。 与此同时,台积电、三星两大晶圆代工龙头在先进制程领域稳定发挥,酝酿率先量产2nm。 你方唱罢我登场,晶圆代工......
台积电6月营收1484.71亿新台币 同比增长22.8%;7月9日,晶圆代工龙头厂商台积电发布其2021年6月营收。报告显示,台积电6月合并营收约为新台币1484.71亿元,同比增长22.8......
【盘点】2021年Q2厂商涨价信息一览;晶圆紧缺已经成为常态,晶圆代工龙头台积电、联电产能全线满载,前者订单已排到明年底。上周,力积电CEO黄崇仁更是公开证实,该公司自去年起晶圆代工价已调涨30......
台积电6月营收大增创纪录,推估为苹果A15处理器进入量产贡献;外媒报道,上周晶圆代工龙头台积电公布2021年6月营收,金额创纪录达新台币1484.71亿元,约53亿美元,这是台积电月营收首次超过50......
高等缺点。NPU处理速度比GPU快10倍,但NPU价格和功耗仅GPU20%~16%。随着ChatGPT热潮蔓延,AI生态系统加速前进,AI芯片订单也逐渐提升。 另一大晶圆代工龙头台积电也持续受益AI......
台积电1nm晶圆厂最早有望于2026年动工,2028年量产;晶圆代工龙头台积电此前预计3nm制程将于第4季度量产,2nm于2025年量产,近期1nm也有了消息。 据中国台湾经济日报报道,台积......
台积电9月营收破1500亿新台币,带动第三季营收超4000亿元新台币;晶圆代工龙头台积电8日盘后公布2021年9月营收,受惠苹果新机拉货效益,营收金额1526.85亿新台币,较8月成长11%,较......
调查机构还是给出了悲观预测,2023年晶圆代工产值将同比减少约4%,衰退幅度更甚2019年。 晶圆代工龙头台积电总裁魏哲家近期在法人说明会上预测,2023年半导体产业市场产出将下滑4%,晶圆代工......
总投资86亿美元,即将开建的这个12英寸晶圆厂产能增至5.5万片/月;近日,晶圆代工龙头厂商台积电宣布,电装株式会社将投资其在日本熊本县设立并拥有多数股权的晶圆......
疯狂的台积电,2017第一季日挣十亿; 来源:内容来自 t钜亨网 ,谢谢。 晶圆代工龙头台积电( 2330-TW )今(13)日召开法说会,公布第1季财报,虽然营收未达低标,毛利......
制程,晶圆代工龙头台积电也传出可能延迟的消息。台积电没有回应,仅表示一切依时程进行。台积电与三星传出3纳米制程延迟推出,外界评估可让处理器龙头英特尔较缓解压力。英特尔2021年宣布重返晶圆代工市场,将与......
三星半导体事业主管:制程技术五年内超越台积电; 据 21ic 近日获悉,韩国电子事业主管庆桂显昨天表示,三星半导体的芯片制程工艺技术优势将在未来五年内超越全球晶圆代工龙头,预计在 2nm......
陆续恢复正常运作。 此外,台积电和联电也进行了相关回应。 晶圆代工龙头厂商台积电表示,地震发生后,公司已按照内部程序,第一时间对南部厂区(台南科学园)部分无尘室人员进行疏散以确保安全,工安......
过去长期与日商合作的经验,对日本的环境相当熟悉。虽然晶圆代工龙头台积电最终设厂定址为在熊本。但因熊本位置较偏远,而且乡下地方马路很小,交通不方便。在台积电过去设厂之后,许多东西价格随着上涨。因此......
集成近年来在显示驱动芯片领域异军突起,于2022年稳坐全球显示驱动芯片代工龙头。根据TrendForce最新统计数据,晶合集成位于全球晶圆代工厂营收第十,同样位列该榜单的大陆地区企业还有中芯国际、华虹集团,分别排名第五、六名......
传南科厂18厂5纳米全停产 台积电:绝无此事;晶圆代工龙头台积电昨(29)日晚上遭传出南科晶圆18厂5纳米全厂停产,台积电表示绝无此事,只是有部分来自厂商供应的气体疑似受到污染,已即......
产品为主。 因此,三星希望在美设立更先进的晶圆厂,以争取高阶芯片订单,进而缩小与全球晶圆代工龙头台积电之间的差距。 报导指出,如果进行顺利,三星威廉森郡新厂将于2022年第一季展开建厂作业,目标......
/28nm、甚至先进制程7/6nm亦难以幸免。 值得一提的是,连晶圆代工龙头台积电也被传为砍单对象,客户包括苹果、AMD、英伟达等半导体巨头。对此,台积电相关部门回复称,不评论市场臆测或传闻。 而面......
晶圆代工龙头台积电总裁魏哲家近期在法人说明会上预测,2023年半导体产业市场产出将下滑4%,晶圆代工产业则减少3%。 中芯国际认为,上半年行业周期尚在底部,外部不确定因素带来的影响依然复杂,预计......
厂过去的市场表现。 调研机构ICInsights预估,今年全球晶圆代工市场将成长9%,表现优于全球整体IC市场的衰退2%。其中,晶圆代工龙头台积电市占率将达58%,虽较去年的59%略减,但营收仍被看好可望成长8......

相关企业

;无锡华润上华科技有限公司;;华润上华科技有限公司是注册成立于开曼群岛的有限公司。华润上华于二零零四年八月在香港联合交易所主板上市。 华润上华及其附属公司(“本集团”)于一九九七年在中国开创开放式晶圆代工
;深圳爱欣文科技公司武汉办事处;;深圳爱欣文科技有限公司是台湾联杰国际的中国大陆地区授权代理。 联杰国际(www.davicom.com.tw)为台湾晶圆代工厂联华电子(UMC)的控股公司,其产
国际(DAVICOM)为台湾晶圆代工厂联华电子(UMC)的控股公司,其产品广泛应用在IPTV,DVD-C-IP,DVR,VOIP,视频服务器,网关,网桥,门禁,考勤,税控,modem等
向市场推出的产品设计也是针对客户的特定需要,使之得益。我们的使命就是要为客户、股东及员工创造价值。 我们以拥有功率半导体技术和商业运作之全面经验感到自豪。我们也在合作的晶圆代工
;深圳市盛友电子有限公司;;深圳市盛友电子有限公司由业界成功的企业家和研发团队共同创立,是一家设计、生产、销售集成电路(IC)的高新技术企业。公司秉承国际先进的IC设计技术和理念,通过与境外等地一流的晶圆代工
manufacturing in Taiwan. ; EPC设计,开发,市场,销售基于氮化镓的电源管理设备,采用成熟的晶圆代工厂。使最高效的能源转换,利用优越的半导体材料,EPC是率
小功率,MOSFET方面投入大量的资源进行研发,将市场反馈结合自己的构思通过设计,晶圆代工投片,封装测试和公司应用方案设计等整合一起,提供给客户OEM服务,我们为客户创造更多的价值! 我们的定位在于: 掌握
,成立于2005年初,是百分之百的罗伯特·博世有限公司的附属公司。该公司提供的微机械传感器,应用和消费类电子产品,保安系统和物流的晶圆代工服务。
在低压大电流,高压小功率,MOSFET方面投入大量的资源进行研发,将市场反馈结合自己的构思通过设计,晶圆代工投片,封装测试和公司应用方案设计等整合一起,提供给客户OEM服务,我们为客户创造更多的价值! 我们
不仅拥有高尖端级的研发团队,还拥有强大的市场推广团队,还拥有强大的市场推广团队,密切与晶圆代工厂、封装厂、测试厂深入合作,不遗余力地为社会提供优质、价廉、便捷的产品。 我们的宗旨:专业 专注 诚信 我们