资讯

台积电“三巨头”首次来大陆拜访客户;台积电技术论坛上海场6月21日举行,会上台积电高层将分享最新产品和技术发展。这次技术论坛由台积电总裁魏哲家亲自领军,业务开发暨海外营运办公室资深副总张晓强,欧亚......
尔上周透露,他们计划率先使用这些价值 3.73 亿美元(IT之家备注:当前约 27.08 亿元人民币)一台的机器来研发其 14A 芯片。 值得一提的是,台积电还展示了一项将于 2026 年投入使用的供电技术,该技术......
传三星芯片背面供电技术研发超预期,有望于明年量产; 【导读】目前三星、英特尔、台积电等均在研发芯片背面供电技术,可显著提高芯片能效并提高密度,有助于使现有高性能芯片进一步突破。据韩......
台积电:3纳米制程将维持FF架构并即将量产 2纳米制程预计采用GAA技术;因疫情两年没有举行实体活动的台积电技术论坛,今日(8月30日)台北场恢复实体活动。开场......
是在2025年下半年推出背面供电技术供客户采用,并于2026年量产。随着台积电持续强化的策略,2nm及其衍生技术将进一步扩大台积电的技术领先优势。 至于价格方面,2nm......
台积电魏哲家:3 纳米即将量产,2 纳米保证 2025 年量产;据中国台湾经济日报,台积电总裁魏哲家今日现身 2022 台积电技术论坛并提到,台积电 3 纳米思考良久维持 FF 架构并即将量产,至于......
用材料(Applied Materials)估计,晶背供电技术让逻辑单元面积减少20%至30%。尽管台积电并未提供关于N2P技术的任何细节,但发表在AnandTech上的一份报告声称,晶背......
董事长刘德音、总裁魏哲家在致股东的营业报告书中回顾过去台积电过去一年发展,并提及台积电技术发展,正为预计于 2025 年开始量产的 2nm 技术(N2)做准备,该制程技术将在新竹和台中科学园区生产。 技术......
晶圆代工厂公布最新技术路线图:2025年量产2纳米技术;晶圆代工龙头台积电近日展开2022年台积电技术研讨会北美场,分享制程技术发展蓝图及未来计划。关键之一就是3纳米(N3)和2纳米(N2)等先......
纳米片晶体管架构和背部供电技术台积电推出的采用纳米片晶体管架构的2nm制程技术,在相同功耗下较3nm工艺速度快10%至15%,在相同速度下功耗降低25%至30%。 业内人士指出,三星、台积电......
在美国亚利桑那凤凰城工厂移机仪式之后,陆续有台积电技术和人才转移去美国的声音。 台积电近日宣布12月29日将在台湾南部科学工业园区举办3nm量产暨扩厂典礼,这一高调举措大有破除业内传言的意味。总裁魏哲家也曾多次表示台湾才是台积电......
要投入先进制程,包括台南厂区扩建3纳米、全美第一个5纳米厂区建置,以及7纳米产能扩充等。 台积电2纳米的量产计划仍神秘未公开,但在今年度台积电技术论坛上,台积电营运组织资深副总经理秦永沛已预告,考虑3纳米与2......
需求下降主要是消费性产品,尤其智能手机与PC,台积电也修正生产计划。所幸车用及高效能运算仍在增加,一方面是台积电技术领先,另一方面市场需求庞大。整体来说,需求持续下,台积电2022年产能维持满载。 另晶......
嵌入式存储器的下一步,可以进一步扩展到28纳米及以上。 英飞凌AURIX TC4x MCU系列将性能扩展与虚拟化、安全和网络功能的最新趋势相结合,以支持下一代软件定义的车辆和新的E/E架构。 据介绍,基于台积电技术......
路线图 近期台积电展开2022年台积电技术研讨会北美场,分享制程技术发展蓝图及未来计划。 其中,台积电初始3纳米节点被称为N3,有望在今年下半年开始量产,并从......
吋晶圆厂,并扩建竹南AP6封装厂以支持3DIC先进封装需求。 根据台积电技术论坛报告显示,位于南科Fab 18厂区的P5~P9厂等共5座12吋厂兴建计划已启动,将成为3nm主要生产重镇。为2nm量身......
总裁魏哲家的说法,目前美国亚利桑那州5纳米制程晶圆厂已经开始动工兴建。 根据国外媒体的报导指出,台积电总裁魏哲家是在一年一度的台积电技术论坛北美场次中做了这样的说明。报导指出,魏哲家表示,该座投资120亿美......
新竹宝山的工厂预计于2024年第2季度开始安装设备,预计2025年第4季度量产,初期月产量约3万片晶圆。本文引用地址:此外,台积电的高雄工厂预估将会在N2工艺登场1年后,采用背面供电技术,量产 N2P( 加强版)工艺。 ......
管和背面电源轨。 不过与英特尔不同的是,台积电并不打算在其 N2 工艺技术的第一代中同时使用 GAA 晶体管和背面电源轨,第一代 N2 将仅采用GAA,而背面供电技术......
是采用 28nm 上的成熟制程,2 成(大部分与 ADAS 相关)采用 14nm 以下,而此部分只有三星与台积电能接单,又以台积电技术、良率维持领先,因此,业界也不断传出台积电拿下多张 7nm 以下......
指出:“Socionext是最早采用台积电先进车载应用技术的合作伙伴之一。台积电全面的车载技术平台,能帮助Socionext在不影响安全性和可靠性的情况下,快速利用3nm工艺技术实现和算力需求。我们同时期望台积电技术......
发展副总经理。1997年至2007年,侯博士建立了台积电技术设计套件与参考流程的开发组织,并于过去十年间,率领团队为台积电打造出业界最完备的开放创新平台(OIP)设计生态系统。 行业......
是诉求更高速及低耗电的MRAM和RRAM等次世代存储,因传输速度比一般快闪存储快上万倍,是否引爆存储产业的新潮流,值得密切关注。 台积电技术长孙元成日前在台积电技术论坛,首次揭露台积电研发多年的eMRAM(嵌入......
于2020年领先业界量产5纳米技术,良率提升的速度较前一世代的7纳米技术更快。N5家族之中的N4加强版藉由减少光罩层,以及与N5几近相容的设计法则,提升效能、功耗效率、电晶体密度。自从2020年台积电技术......
制程正遭遇瓶颈,蒋尚义亲自监军,并加码研发经费,让台积电技术获得关键突破,此后不但在二八纳米之后快速缩短和英特尔的差距,二○一七年后在一○纳米、七纳米亦将短兵交锋,可以说蒋尚义是奠定台积电技术......
60.7%。 既然台积电董事长、总裁的年薪突破1.4亿元,那么普通技术员工的收入又是多少呢? ▲资料图 近日,中国台湾苗栗地方法院的一起民事裁定曝光了台积电技术......
的合作伙伴之一。台积电全面的车载技术平台,能帮助Socionext在不影响安全性和可靠性的情况下,快速利用3nm工艺技术实现ADAS和自动驾驶算力需求。我们同时期望台积电技术......
台积电透露3大关键信息:半导体产业三大改变...;8月30日,台积电在中国台湾举行2022年技术论坛,总裁魏哲家“新的世界、新的契机”主题演讲拉开序幕。 本次台积电技术......
英特尔 CEO 亲自站台:Intel 18A 优势略高于台积电 N2 工艺;英特尔首席执行官帕特・基辛格(Pat Gelsinger)近日在接受采访时表示,英特尔的 18A 工艺和台积电的 N2......
工艺和台积电的 N2 工艺不相上下。 不过基辛格表示,在背面供电(backside power delivery)方面,英特尔更胜一筹,也得到了客户的广泛认可。 基辛格表示英特尔在背面供电技术......
右的 1.7nm(IT之家注:此处存疑,以往报道中为 1.4nm)工艺中实现背面供电技术的商业化,但由于目前超额完成了开发目标,预计将修改路线图,最早在明年推出的 2nm 中应用。 三星电子的两大竞争对手台积电......
能接单,又以台积电技术、良率维持领先,因此,业界也不断传出台积电7纳米以下制程拿下多笔车用芯片订单。 值得一提的是,先前传出车用IDM厂欲与台积电、格芯与世界先进等晶圆代工厂重新议价,但最......
考究:三星到底有没有偷台积电技术?; 来源:内容来自 写点科普 ,谢谢。 台积电和联电拉开分水岭的关键,在于2000 那年联电采信了IBM… 等等!IBM支持的Gate-First......
创新。” 相比之下,今年6月台积电总裁魏哲家在2021年台积电技术论坛上宣布,3nm芯片量产时间为2022年下半年。显然,三星希望更早推出3nm工艺,以抢占先机。事实上,此前......
爆iPhone 16机型可能在2024年使用第一代3纳米芯片; 有消息披露,计划在iPhone 16系列上将会采用台积电技术的3纳米芯片,价格较低的iPhone 16机型可能在2024年使......
InFO-3D),后段组装测试相关技术包含2D/2.5D的整合型扇出(InFO)以及2.5D的CoWoS系列家族。 近日,台积电在美国加利福尼亚州圣克拉拉召开了2022年台积电技术研讨会,并公......
首次同台竞技。前脚有机构指出台积电 N3 良率最高可达 75% 至 80%,三星紧跟着表示全球首家采用高端 EUV 薄膜技术,尖端工艺的唯二两名玩家再次掀起话题。 良率吊打,台积电技术......
第一代3纳米芯片,iPhone 15 Pro 的芯片给 iPhone 16 用?; 近日有消息披露,计划在iPhone 16系列上将会采用台积电技术的3纳米芯片,价格较低的iPhone 16机型......
制造业务,同时还要重新杀入晶圆代工行业,跟三星、台积电抢市场。为此Intel成立了新的IFS晶圆代工部门,已经服务部分客户,这两天Intel CEO基辛格又宣布了新的代工模式——内部代工模式(internal......
采用台积电 4nm 工艺,联发科发布全新旗舰芯片天玑 9200+; 据 21ic 获悉,昨天召开新品发布会,推出了全新的旗舰天玑 9200+。该芯片在天玑 9200 基础上采用了最新的 4nm......
获致重大突破下,预估台积电5nm制程量产蓝图将更加确定,预料论坛中将揭露5nm量产时程,也将成为全球第一个对外宣布提供5nm代工服务的晶圆厂。 台积电供应键透露,今年台积电技术......
晶圆代工大厂角逐先进制程迎新进展!;AI、高性能计算等新兴技术驱动下,产业重要性日益凸显。近期,产业迎来新进展:英特尔宣布Intel 4制程节点已大规模量产。与此同时,台积电、三星同样在积极布局技术......
外媒:三星痛失芯片大单; 【导读】外媒报导,台积电从三星手上抢下Google新一代手机芯片大单,即便Google手机销售量不多,仍象征台积电技高一筹,让Google转投入台积电怀抱,未来......
董事长张忠谋已知情,并不会造成台积电技术外流等疑虑。但市场仍忧心,蒋尚义此次异动,多少还是会对中芯提高制程良率等生产瓶颈有所帮助。 「蒋爸」一度被市场认为是张忠谋的接班人之一,他在1997 年加入台积电,期间......
麻省理工团队首先发现在二维材料上搭配半金属铋(Bi)的电极,能大幅降低电阻并提高传输电流。随后台积电技术研究部门将铋(Bi)沉积制程进行优化,中国......
外光刻(EUV光刻)」技术进行量产。极紫外光刻能大幅提高电路形成工序的效率,台积电技术长孙元成介绍说,(通过极紫外光刻技术)成本竞争力和性能都将超过原产品。 另一方面,分析认为三星计划最早在2018年开......
初期月产能1.5-2万片?传联电获邀赴美建12英寸晶圆厂;继全球排名第一和第二的台积电、三星宣布将赴美投资建设新厂之后,美国似乎又将目光瞄准了第三大晶圆代工厂联电。 据台湾地区媒体报道,有消......
官宣!Intel Foundry来了;提到芯片代工,大家可能会首先想到台积电,毕竟很多芯片都是由其代工。事实上除了台积电拥有先进的制程工艺外,英特尔也有,而且并不落后于台积电。 英特......
上的情况分析,在台积电技术一家独大的情况下,也就获得了全球芯片企业的青睐。包括苹果、华为海思、联发科、AMD 、 NVIDIA 等都是客户。不过,这也引发了新的问题,那就是由于新制程投产初期的产能有限,但是......
2019 年才会投产 14 纳米 FinFET 制程。 就以上的情况分析,在台积电技术一家独大的情况下,也就获得了全球芯片企业的青睐。包括苹果、华为海思、联发科、AMD、NVIDIA等都......

相关企业

;台积电上海有限公司;;台积电上海有限公司(台积电上海)位于上海市松江科技园区内,是台积电独资设立的子公司,也是其全球布局中重要的一环。台积电将运用以往丰富的成功经验,协助
家专门经营台湾艾笛森EDISON,美国科锐CREE,台湾琉明斯以及(台积电)彩钰等品牌大功率LED灯珠的专业光电子器件通路商!公司严格执行“系统管理,以人为本,诚实守信,交货快捷,优质服务”的宗旨。真诚
;深圳锡安科技有限公司销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光
;深圳锡安科技有限公司-销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光
LUMILEDS LUXEON系列;首尔半导体LED 5630 5050,三星LED 5630,台湾隆达LED 5630 3014,以及台积电3535 TS系列大功率TS1 TS3,台湾
子科技有限公司其前身得积工作室在公司成立前,一直默默地专注于技术研发和创新,一直为广大客户提供强大的技术服务,随着国家及各界人士对于反向技术的认同和支持,得积工作室慢慢从幕后走向前台,深圳市得积电子科技有限公司以强大技术力量和卓越企业精神,立足
;深圳市台积微科技有限公司;;深圳市台积微科技有限公司总部坐落于罗湖区国贸中心大厦27楼,物流中心设置于深圳市南山区,另外新亚洲二期一楼设有柜台便于华强北市场同业送货服务,我司
LED驱动芯片、液晶屏驱动、耳机降噪芯片、模拟与数字转器IC、电容屏驱动、触摸IC等,公司多年积累2000多家客户,涉及智能家居、家用电器、LED市政灯饰、LED广告屏、数码产品、医疗技术等领域,目前与全球最大代工厂台湾台积电
;利积电子;;
出捷新在客户心目中的价值就是捷新所有人员的使命! 目前拥有客户群体分布于: 光电半导体产业:LED、LCD、IC 电子电机产业:风扇、MLCC LED主要客户为:台积电、联电、晶电、奇力、隆达、威力盟、泰谷、新世纪、亚威