资讯

芯片巨头台积电失宠 狂甩其股票的可不只有巴菲特;北京时间2月16日消息,芯片代工巨头台积电正在失去机构投资者的宠爱,狂甩其股票的不只有“股神”巴菲特。监管文件显示,投资公司老虎环球基金、贝莱......
国际半导体产业协会预估台积电、英特尔年内建成 2nm 晶圆厂;3 月 28 日消息,国际半导体产业协会(SEMI)近日发布产业链报告,认为芯片巨头台积电和英特尔有望在今年年底之前建成 2 纳米......
台积电先进制程产能利用率一直在下滑,因此非常重视南京厂的成熟制程。 在美方不断收紧芯片限制的情况下,中企发展确实会受到影响,这时不少芯片巨头开始蠢蠢欲动,有的趁火打劫,比如三星存储涨价,有的......
英媒:担心需求不振,台积电延迟接收芯片设备;路透社日前报道称,消息人士透露,芯片巨头台积电已通知其主要供应商,要求延迟交付高端芯片制造设备。 消息人士表示,台积电要求芯片......
市场需求疲软,晶圆代工大厂计划延迟接收芯片设备;路透社日前报道称,消息人士透露,芯片巨头台积电已通知其主要供应商,要求延迟交付高端芯片制造设备。 消息人士表示,台积电要求芯片......
市场需求疲软,晶圆代工大厂计划延迟接收芯片设备; 【导读】路透社日前报道称,消息人士透露,芯片巨头台积电已通知其主要供应商,要求延迟交付高端芯片制造设备。消息人士表示,台积电要求芯片......
明年上半年通过环评,随即交地建厂,第一期厂预计2024年底前投产。 芯片代工巨头台积电被曝大砍供应链订单,最多高达五成。据《台湾经济日报》 11月1日报道,半导体景气下行,台积电二度下修资本支出之际,传出......
公司已经开始通知下游消费者这些变化。 放眼整个半导体行业,过去几个月里有关涨价的消息就没有停过:代工巨头台积电告知客户2023年仍将出现“个位数百分比”涨价;重要材料供应商信越化学、SUMCO也将......
全球最大的半导体供应商。自ChatGPT带火人工智能(AI)以来,英伟达GPU销售额快速增长,季度营收超过半导体行业巨头台积电(196亿美元)、三星(164亿美元)和英特尔(146亿美......
大涨78%!台积电2022年第四季度业绩创新高;国际电子商情讯 1月12日,芯片代工巨头台积电召开线上法说会,发布了2022年第四季度收益报告。该季度台积电实现营收6255.3亿元新台币(约......
台积电11月营收出炉,同比增长15.7%;12月10日,晶圆代工巨头台积电公布11月营收。 11月台积电营收达1248.65亿元新台币,约合人民币 289 亿元。相比上月增长了 4.7%,同比......
苹果自研5G基带2023年投产:台积电代工4纳米工艺;凤凰网科技讯 北京时间11月24日消息,苹果公司正与芯片代工巨头台积电建立更加紧密的合作关系,计划从2023年开始让台积电使用4纳米......
台积电已向美提交芯片供应链信息 但保留客户特定数据;据凤凰网科技消息称,11月8日,芯片代工巨头台积电公司发言人周日表示,台积电已经回应了美国商务部关于提交供应链信息的要求,以协助解决全球芯片......
之一。 据三星蓝图分析,2纳米SF2制程2025年推出,较第二代3GAP的3纳米,相同频率和复杂度提高25%功耗效率,相同功耗和复杂度提高12%性能,减少5%芯片面积。 先前市场消息,晶圆代工龙头台积电......
英特尔投产绘图处理芯片台积电获3大制程订单;全球芯片巨头英特尔19日在美国举办“架构日”活动,公开与台积电合作的新细节,将使用台积电的5纳米、7纳米和6纳米制程,打造独立显卡新品牌“Intel......
随着台积电产能达到饱和 AMD正在寻找其他CoWoS代工商;据报道,随着台湾巨头台积电在行业需求旺盛的情况下达到满负荷生产,AMD 正在寻找其他 CoWoS 供应商。在台积电......
模拟芯片巨头亚德诺 ADI 下单台积电日本熊本厂,签长期供应协议;IT之家 2 月 26 日消息,据(即 Analog Devices)官网消息,这一企业已与台积电熊本厂 JASM 签署......
前景好过富士康?苹果订单助台积电股价创新高; 半导体行业观察近期,外媒报道,受益于苹果 A10 芯片订单,全球最大芯片代工巨头台积电......
制程良率已改善、接近 5nm 的水准,下一代 4nm 制程将提供更高的良率。 业内消息人士透露,美国芯片巨头 AMD 公司已经选择了三星电子作为其 4nm 处理器的合作伙伴。此外,谷歌......
政府通过了《芯片法案》,将提供约530亿美元用于补贴美国本土芯片产业,包括台积电和三星电子等芯片巨头在内的企业都将受益。台积电于2020年5月宣布在亚利桑那州建厂,最初承诺投入120亿美元,去年12月,台积电......
表示经过评估,预计原材料镓和锗的出口限制不会对台积电的生产产生任何直接影响。我们将继续密切关注事态发展。 在回应之前,欧洲的芯片巨头英飞凌也针对此事做出了回应,认为......
行业中为数不多的严重短缺的细分领域之一。不过也有积极方面的消息,IT之家了解到,芯片制造巨头台积电近日表示供应紧张的趋势有望缓解。台积电首席执行官魏志刚在电话会议上对分析师表示:“汽车需求持续增长,目前......
器件等产品看似更适合IDM,但是相关巨头如博世、ST、恩智浦,毫不犹豫地走向了轻代工的Fab-lite模式;Foundry龙头台积电创纪录地大幅扩产;高通、AMD这些国际Fabless巨头晶圆需求大,技术......
特领导的伯克希尔-哈撒韦公司位居第八名,市值7531.3亿美元;Facebook母公司Meta紧随其后,市值7331.1亿美元;台积电以5349.8亿美元市值跻身第十。 全球晶圆代工巨头台积电......
半年以来持续紧张,产能利用率长时间处在高位,营收不断创下新高,季度营收更是连续两个季度超过芯片巨头英特尔。 但在连续多年产能紧张、保持高速增长之后,台积电的产能利用率在明年可能也会面临考验,已有......
通过电子邮件表示,“经过评估,我们预计原材料镓和锗的出口限制不会对台积电的生产产生任何直接影响。我们将继续密切关注事态发展。” 在台积电之前,欧洲的芯片巨头英飞凌也针对此事做出了回应,认为......
产并在 2027 年量产,和行业巨头台积电相比仅落后 2 年时间。 去年第四季度,日本经济大臣西村康稔在东京的新闻发布会上表示,日本政府正在投资10亿美金来加强半导体产业,这是......
? 根据韩国首尔新闻报道,因为看好车用半导体市场,李在镕此次访问欧洲,将洽淡收购台积电大客户之一的汽车芯片半导体巨头恩智浦(NXP)。 资料显示,恩智......
瑞昱与台积电达成长期协议?;近日,有消息称,由于网络芯片的供应当前仍处在一个较为紧张的状态,有“小螃蟹”之称网络芯片巨头瑞昱以与台积电、联电等合作伙伴达成长期协议,并且将加强与格芯、中芯......
还积极拉拢全球半导体公司投资、合作,这两天就邀请了主要的7家芯片巨头会谈。 7家公司的代表主要是台积电董事长刘德音、Intel CEO基辛格、三星电子半导体部门负责人庆桂显、美光CEO梅罗塔、IBM资深......
份声明中表示,三星多年来一直在先进封装方面进行投资。这家韩国芯片巨头于2022年12月成立了专门团队来研究先进封装。 英特尔对台积电......
政府将在五年内提供 200 亿美元用于研发,2760 亿美元用于开发芯片封装,以及 770 亿美元用于工业水电基础设施建设。 或许是该信息对晶圆代工巨头台积电产生了危机感,台积电......
传多家芯片巨头放话:推迟或缩减在美建厂计划,原因是?;美国520亿美元规模的芯片法案推进进程陷入停滞,台积电、英特尔等半导体厂商表示,将不得不推迟或缩减在当地的投资扩产计划。 据日......
企业高兴不起来”,《首尔新闻》1日报道称,以补贴为诱饵,拜登政府成功“吸引”三星、台积电等海外芯片巨头在美投资数千亿美元设工厂,但从发布的补贴申请细则来看,美国政府是彻底以本国利益为中心。英特......
近期该公司股价处于回调阶段,但仍是中国台湾最高价的股票之一,已是四年前股价的 10 倍。 市场认为,虽然美国芯片巨头博通(Broadcom)和日本 Socionext 也提供此类设计支持,但中国台湾企业的优势之一,是他......
政府已经投入数以十亿美元计资金,鼓励芯片代工巨头台积电在国内增加产能,并资助日本国内晶圆代工厂Rapidus,希望到2027年生产2纳米芯片。与美光的合作将首次将EUV设备带入日本,这是......
印度正与英特尔、台积电等就在当地建立工厂谈判;据财联社报道,印度正与全球芯片制造商英特尔、格芯、台积电就在当地设立业务进行谈判,这是......
已经不满足于做电动汽车行业的电池供应商,有意向将半导体制造能力向汽车产业链延伸。 觊觎汽车芯片行业的大企业显然不止三星一家,三星首先要面对的是占据非存储芯片约70%以上市场的半导体巨头台积电......
-24个月,晶体管数量翻一番,性能提升一倍,价格减半的“惯例”难以为继。以芯片代工巨头台积电的技术演进为例,台积电在2016年下半年量产了10nm芯片,2018年上半年量产7nm,2020年上......
为止,美国已经公布了六项初步奖项:其中三项授予生产老一代半导体的公司。另外,英特尔、台积电、三星三大芯片巨头都取得了美国政府的补贴资金,总计215亿美元,占到《芯片法案》里的390亿美元建厂补贴近55......
制造企业全世界都屈指可数,目前大家所熟知的芯片制造企业中仅台积电和三星已经达到了3nm的工艺制程。早在去年的时候,这两家一直角逐的芯片巨头企业就发出公告称,2022年下半年将进入3nm芯片的量产阶段。如今2022年已......
调查机构还是给出了悲观预测,2023年晶圆代工产值将同比减少约4%,衰退幅度更甚2019年。 晶圆代工龙头台积电总裁魏哲家近期在法人说明会上预测,2023年半导体产业市场产出将下滑4%,晶圆......
示目标是2024年生产1.8纳米芯片。 虽然三星没有立即回应,但韩国市场分析师表示,考虑到英特尔能力和美国积极支持自家芯片巨头台积电和三星可能会面临压力,尤其三星,英特尔希望2024年就......
英特尔公布晶圆代工业务计划,欲重夺芯片制造业领导地位;芯片巨头英特尔公司今天公布了其晶圆代工业务部门的详细信息,作为其财务报告格式变更的一部分,该部门现在作为一个独立项目进行核算。英特尔和台积电一直处于为包括英伟达和苹果等公司制造高端芯片......
)互连技术,用于人工智能芯片和高性能计算等。 降低芯片制造成本 国际芯片巨头纷纷入局Chiplet Chiplet通常被翻译为“芯粒”或“小芯片”,是系统级芯片(SoC)集成......
车用功率器件以及模拟IC或更吃香?;据中国台湾地区经济日报报道,业界传出,台积电因考虑车用半导体供需不再严重吃紧,加上多数车用芯片客户可转至日本、美国等地新厂生产,欧洲新厂因而延后至2025年开......
车用功率器件以及模拟IC或更吃香?;据中国台湾地区经济日报报道,业界传出,台积电因考虑车用半导体供需不再严重吃紧,加上多数车用芯片客户可转至日本、美国等地新厂生产,欧洲新厂因而延后至2025年开......
能够覆盖合规资本支出的25%。 目前,英特尔、台积电、三星三大芯片巨头都拿到了美国政府的补贴资金,总计215亿美元,占到《芯片法案》里的390亿美元建厂补贴近55%。 美国商务部长雷蒙多(Gina Raimondo)表示......
2025年,三星、台积电2nm正面交锋? 无独有偶,另一大晶圆代工巨头台积电也于近期透露了先进制程最新进展。 3nm方面,台积电表示客户对3nm的需求超越台积电的供应量,部分......
台媒:台积电将在台湾建10座晶圆厂;据台媒工商时报报道,韩国三星电子将投入2,300亿美元在韩国首尔近郊兴建五座晶圆厂,但晶圆代工龙头台积电更胜一筹。台积电的3纳米及2纳米大投资计画,预计......

相关企业

;台积电上海有限公司;;台积电上海有限公司(台积电上海)位于上海市松江科技园区内,是台积电独资设立的子公司,也是其全球布局中重要的一环。台积电将运用以往丰富的成功经验,协助
LUMILEDS LUXEON系列;首尔半导体LED 5630 5050,三星LED 5630,台湾隆达LED 5630 3014,以及台积电3535 TS系列大功率TS1 TS3,台湾
LED驱动芯片、液晶屏驱动、耳机降噪芯片、模拟与数字转器IC、电容屏驱动、触摸IC等,公司多年积累2000多家客户,涉及智能家居、家用电器、LED市政灯饰、LED广告屏、数码产品、医疗技术等领域,目前与全球最大代工厂台湾台积电
;张三风;;赌东道断头台
;深圳市华积电科技有限公司;;深圳市华积电科技有限公司成立于2000年,是一家专业(海思-TI)电子元器件代理分销机构,公司有着多年的安防视频监控和机顶盒元器件的优势供应渠道, 公司
家专门经营台湾艾笛森EDISON,美国科锐CREE,台湾琉明斯以及(台积电)彩钰等品牌大功率LED灯珠的专业光电子器件通路商!公司严格执行“系统管理,以人为本,诚实守信,交货快捷,优质服务”的宗旨。真诚
;东莞市樟木头台创电器经营部;;台创电气主要
;深圳得积电子科技股份有限公司;;深圳市得积电子科技有限公司创立于 2008 年 11月,是一家安防芯片的代理商,代理国外多条安防产品线。 深圳市得积电
;深圳锡安科技有限公司销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光
;深圳锡安科技有限公司-销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光