资讯

涉及供应链的影响有:中断全球半导体供应链及台积电的供应商的运营,包括亚洲、欧洲及北美;由于工厂或办公室被迫关闭或部分运营,导致台积电产品潜在的生产延迟。此外,台积电在最近的法说会上,对2020全年度晶圆代工产值......
台积电产能利用率回升、大厂积极下单,半导体景气触底反弹?;据中国台湾媒体报道,近期台积电产能利用率缓步回升,台积电客户投片量出现明显增加,部分市场需求回暖,半导体产业似乎出现景气触底反弹的现象。不过......
家预期,今年不计入存储芯片的半导体产值将减少中个位数百分比(约4%至6%),今年晶圆代工产值预计将减少十位数百分比(约14%至16%),IC设计库存调整持续下,产值也将比先前预测转趋保守。台积电......
%。 晶圆代工厂台积电、联电、世界先进与力积电去年营收同创新高,其中,龙头厂台积电去年营收更逐季创新高,全年总营收达2.26兆元,年增42.61%,为推升台湾IC制造业与半导体总产值......
2023年晶圆代工产值将减12% 台积电市占率55%居首; 【导读】中国台湾工研院产科国际所估计,今年全球晶圆代工产值将减少12%至1248.15亿美元,台积电市占率将达55%,稳居......
力突破应该能够扩大其领先同行的技术优势,保证在先进技术工艺上取得更好的成本结构。 在第一季度财报法说会上,台积电上调对今年半导体与晶圆代工市场展望。魏哲家预估,今年半导体产业产值(不含存储器)将成长12......
台积电日本新厂计划月产5.5万片12英寸晶圆;据中国台湾经济日报报道,台积电日本熊本新厂(JASM)社长堀田佑一透露,新厂2024年第4季开始量产后,将逐步拉高产能,目标每月满载5.5万片12英寸......
府单位对产业的持续推动,台湾地区化合物半导体厂商均看好这个未来仍会持续蓬勃发展。 目前,主要厂商如台积电、环球晶、盛新材料、嘉晶、汉磊以及稳懋等均从2021年开始陆续进行扩厂规划以增加产能,新的......
终端及芯片库存陆续消化,以及下半年苹果、安卓新机涌现,带动Q3智能手机、笔记本相关零部件急单涌现。此外,台积电、三星3nm制程也对产值......
多出的订单则会委托给三星。未来除了台积电、三星外,英特尔或将成为英伟达第三家晶圆代工伙伴。 Q4全球晶圆代工产值将持续向上 全球市场研究机构TrendForce集邦咨询最新调查显示,今年第三季全球前十大晶圆代工厂商依次是台积电......
不含存储器的半导体产业,产值将较2021年成长达17%,较先前预估成长12%增加5个百分点。晶圆代工方面,产值也由原本预估16%增加4个百分点到20%。台积电2021年全年营收若以美元计算,超过......
总裁魏哲家预期,今年全球不含存储的半导体产值将成长17%,晶圆制造产值将成长20%,台积电美元营收成长率有信心超越晶圆制造业的20%,第3季营收将介于146亿至149亿美元,毛利率49.5%至51.5......
英特尔来得强势。虽然英特尔微处理器的科技、制程都较佳,但晶圆代工能力却落后微处理器制造技术至少两年,因此大概比台积电晚了一年左右。也就是说,英特尔短期内难以对台积电产生实质威胁。 相较之下,台积电的 10 纳米、7......
些情况下可以超过 70%。 韩媒报道进一步指出,在三星与台积电都进入 3nm 制程的时代之后,未来 3nm 制程将会成为晶圆代工市场的主流。因此,预计到 2025 年之际,3nm 制程市场的产值将会高达 255......
推出新机等有利因素,带动第三季智能手机、笔电相关零部件急单涌现,但高通胀风险仍在,短期市况依旧不明朗,故此波备货仅以急单方式进行。此外,台积电(TSMC)、三星(Samsung)3nm高价制程贡献营收亦对产值......
传英特尔3纳米计划延后,或影响台积电产能利用率; 【导读】据McRumor报道,苹果已取得台积电3纳米全部产能,为即将在今年下半年推出的iPhone 15 Pro系列以及新款MacBook......
需求温和复苏 半导体下半年旺季效应添变数; 【导读】终端需求超乎预期疲软,半导体产业景气调整期拉长,两大晶圆代工厂台积电与联电同步调降今年半导体与晶圆代工业产值预估,下半......
究,受惠于iPhone新机备货需求带动苹果系供应链拉货动能,推升第三季前十大晶圆代工业者产值达到352.1亿美元,环比增长6%。 其中,台积电凭iPhone新机......
Meta执行长祖克柏日前访问韩国时敲定。韩媒指出,祖克柏透露Meta对台积电的依赖,但目前情况「不稳定」,主因台积电产能吃紧,长远来看可能影响供货Meta。 目前......
会将一部分产能放置台中。 由于全球客户群需求迫切,台积电产能扩充与开发较往年可说“五倍速”加速,确保高端产能稳健提升,资本支出多数用于先进制程,2021年资本支出规划达创新高的300亿美元,八成......
作业较安全。反观三星,因为该公司同样拥有处理器设计业务,双方是潜在竞争对手,较有安全疑虑。根据《彭博社》报导,相较台积电,英特尔与三星仍处于初步接触。 虽然台积电产......
续驱动半导体产业成长,预估今年半导体产业 (不含存储器) 产值将持平至小幅成长;晶圆代工产值估年增14-19%。 同步上调的还有5G手机渗透率预估,台积电预估今年5G手机渗透率将达17-19%,高于先前预估的15......
晶圆代工巨头风向:7nm产能回暖,国产代工势力成强劲对手; 【导读】据中国台湾媒体报道,近期台积电产能利用率缓步回升,台积电客户投片量出现明显增加,部分市场需求回暖,半导......
砸钱抢台积电产能,是因为GPU是Nvidia的主要营收来源,要是销售欠佳将是重大打击。而且明年开始市场将有剧烈转变,AMD的次世代RDNA3 GPU将采MCM架构(Multi-Chip Module......
世代再重押。 报道称,虽然台积电产能布局可能被打乱,但客户黏着度更高,对于2nm GAA世代相当有信心,已采用4/3nm的客户,几乎皆有2nm投片规划。 从3nm工艺上看,台积电曾表示,更先......
台积电官宣两件大事,晶圆代工产业谷底将过?; 【导读】台积电长期占据晶圆代工产业半壁江山,据TrendForce集邦咨询最新数据,在2023年第二季度晶圆代工市场中,台积电以56.4%的市......
台积电官宣两件大事,晶圆代工产业谷底将过?;台积电长期占据晶圆代工产业半壁江山,据TrendForce集邦咨询最新数据,在2023年第二季度晶圆代工市场中,台积电以56.4%的市......
CEO扎克伯格访问韩国时敲定。韩媒并引述匿名官员谈话指出,扎克伯格在与韩国总统尹锡悦会面时也透露Meta对台积电的依赖,但目前情况“不稳定”,主因台积电产能吃紧,长远来看可能影响供货Meta......
晶圆代工一哥最新营收几何?;10月6日,台积电公布最新营收数据,2023年9月公司营收约为新台币1804.3亿元,同比减少较2023年8月增加4.4%,较2022年9月减少13.4%。2023年1......
%,符合原预期,5纳米制程出货从26%大增至33%,7纳米下滑至19%,16纳米10%,28纳米10%。 对于未来发展,台积电总裁魏哲家表示,今年全球经济及政治状况虽然仍不明朗,不过仍旧看好今年整体半导体产业产值......
将不会协助苹果量产M2处理器。 英特尔为保留自家技术,则将新处理器的生产一分为二,一部分由自家生产,另一部分则委托台积电代工,之后再通过先进封装技术合并。但业内人士指出,虽然台积电产能与技术已就位,但英......
市场需求疲软,晶圆代工大厂计划延迟接收芯片设备;路透社日前报道称,消息人士透露,芯片巨头台积电已通知其主要供应商,要求延迟交付高端芯片制造设备。 消息人士表示,台积电......
相关零部件急单涌现,但高通胀风险仍在,短期市况依旧不明朗,故此波备货仅以急单方式进行。此外,台积电(TSMC)、三星(Samsung)3nm高价制程贡献营收亦对产值带来正面效益,带动2023年第三季前十大晶圆代工业者产值......
Silicon 也是如此,台积电目前把控着苹果所有芯片制造业务。 实际上,早在今年 5 月份就有消息称苹果为其下一代设备预订了近 90% 的台积电产能,然而,由于英特尔后来修改了 CPU 生产计划,这也......
台积电产能利用率将在Q1全面提高 12英寸厂达80%; 【导读】消息人士称,台积电8英寸和12英寸晶圆厂的利用率已分别恢复到70-80%和80%。其中,28纳米......
备货需求带动苹果系供应链拉货动能,推升第三季前十大晶圆代工业者产值达到352.1亿美元,环比增长6%。 其中,台积电凭iPhone新机主芯片带来强大备货动能,第三季营收达201.6亿美元,环比增长11.1%。7nm(含......
台积电产能紧缺,三星或将为AMD提供封装、HBM服务;近期,韩媒报道三星电子第四代HBM(HBM3)以及封装服务已经通过AMD品质测试。 AMD的Instinct MI300系列AI芯片......
合作案,是在Meta CEO祖克柏日前访问韩国时敲定。韩媒并引述匿名官员谈话指出,祖克柏在与韩国总统尹锡悦会面时也透露Meta对台积电的依赖,但目前情况「不稳定」,主因台积电产能吃紧,长远......
展望 法说会上,台积电上调对今年半导体与晶圆代工市场展望。魏哲家预估,今年半导体产业产值(不含存储器)将成长12%,优于此前预期的8%,晶圆代工产值估成长16%,优于此前预期的10%。而台积电......
张忠谋:台积电5nm将在2019年到来; 来源:内容来自 钜亨网 ,谢谢。 晶圆代工龙头台积电年度股东常会将于6月8日登场,并于今(24)日上传致股东报告书,当中......
台积电产能利用率在明年上半年预计降至80% 5nm/7nm都将下滑;据国外媒体报道,在居家办公及学习设备等需求强劲,对芯片有强劲需求的推动下,晶圆代工商台积电的产能自2020年上......
消息称台积电产能与订单激增,释放半导体行业回暖信号;10 月 25 日消息,台积电的产能利用率正逐渐回升,客户的订单也明显激增,暗示半导体行业释放回暖信号。报道称台积电 7/6nm 产线......
市场需求疲软,晶圆代工大厂计划延迟接收芯片设备; 【导读】路透社日前报道称,消息人士透露,芯片巨头台积电已通知其主要供应商,要求延迟交付高端芯片制造设备。消息人士表示,台积电......
台积电二季度营收同比跌幅收窄;10月6日,公布最新营收数据,2023年9月公司营收约为新台币1804.3亿元,同比减少较2023年8月增加4.4%,较2022年9月减少13.4%。2023年1月至9......
英伟达H200/B100芯片订单强劲,台积电产能满载!; 业内消息,AI年度大会GTC将于美国西部时间3月17日登场,市场预估H200及B100将提前发布抢市。据了解,H200及新一代B100......
GPU需求有多旺盛?台积电生产不过来,英伟达或将订单外包三星;据中国台湾媒体援引韩媒消息称,由于台积电产能供应日益紧张,英伟达正计划将部分AI GPU外包给三星制造。 半导体业内人士透露,英伟......
随着台积电产能达到饱和 AMD正在寻找其他CoWoS代工商;据报道,随着台湾巨头台积电在行业需求旺盛的情况下达到满负荷生产,AMD 正在寻找其他 CoWoS 供应商。在台积电......
助提升联电的盈利水平。其实不只联电,近日有传言称,台积电也将在12月份再次调涨晶圆代工报价。 近一年来,受5G、AI、自动驾驶以及消费电子产业的发展,大幅推动了半导体市场的增长,也使......
英伟达或将部分AI GPU订单外包三星; 【导读】据Digitimes报道由于台积电产能供应日益紧张,英伟达正在考虑将部分 AI用GPU代工订单外包给三星电子。韩国媒体Chosun Biz......
长6.4%(上图左边红色折线)。 实际上,两组数据的差距主要由最先进制程带来,虽然先进制程芯片数量占比并不高,但是它带来的产值非常大,台积电包揽了大部分先进制程芯片订单,这确......

相关企业

;台积电上海有限公司;;台积电上海有限公司(台积电上海)位于上海市松江科技园区内,是台积电独资设立的子公司,也是其全球布局中重要的一环。台积电将运用以往丰富的成功经验,协助
家专门经营台湾艾笛森EDISON,美国科锐CREE,台湾琉明斯以及(台积电)彩钰等品牌大功率LED灯珠的专业光电子器件通路商!公司严格执行“系统管理,以人为本,诚实守信,交货快捷,优质服务”的宗旨。真诚
;深圳锡安科技有限公司销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光
;深圳锡安科技有限公司-销售部;;深圳锡安科技有限公司,本公司专业代理OSRAM欧司朗、CREE科锐、Philips飞利浦、Sharp夏普、TSMC台积电等海内外大品牌LED灯珠、光源、发光
;新和光电材料有限公司;;日本智积电产品RF100白色反射膜,良率可达到99%以上,反射率可达到94.1%,色度更好,价格更低,可替代镀银反射膜产品,我司专业大量供应,欢迎来电来询!
LUMILEDS LUXEON系列;首尔半导体LED 5630 5050,三星LED 5630,台湾隆达LED 5630 3014,以及台积电3535 TS系列大功率TS1 TS3,台湾
;利积电子;;
;深圳市台积微科技有限公司;;深圳市台积微科技有限公司总部坐落于罗湖区国贸中心大厦27楼,物流中心设置于深圳市南山区,另外新亚洲二期一楼设有柜台便于华强北市场同业送货服务,我司
;苏州富积电子有限公司;;
;深圳得积电子科技股份有限公司;;深圳市得积电子科技有限公司创立于 2008 年 11月,是一家安防芯片的代理商,代理国外多条安防产品线。 深圳市得积电