资讯

后成功运行Linux操作系统和SPEC CPU 2000程序,相关论文则在今年6月末发布。 ▌芯片巨头竞相应用AI帮助芯片设计 先进芯片制造必须经历1000多个步骤。每个......
制造商在芯片设计中受益于AI的5种方式;人工智能正迅速成为制造商可以使用的最通用、最实用的工具之一。随着电子制造商面临日益增长的需求和供应链压力,人工智能在芯片设计中的应用获得了巨大动力。 芯片设计......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用;新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用 屡获殊荣的新思科技DSO.ai解决方案通过大幅提高芯片设计......
于缺乏对新材料和新结构(例如半导体工艺技术)方面理论基础认识和大量知识积累,芯片设计仍然面临着很多挑战。AI芯片设计中的应用为工程师提供了一种独特而有趣地结合人工智能算法与芯片......
大算力芯片,首次将忆阻器ReRAM和存算一体架构相结合,通过全数字化的芯片设计思路,在当前产业格局的基础上,提供一条更具性价比、更高能效比、更大算力发展空间的AI大算力芯片换道发展新路径。亿铸......
月,致力于用存算一体架构设计AI大算力芯片,首次将忆阻器ReRAM和存算一体架构相结合,通过全数字化的芯片设计思路,在当前产业格局的基础上,提供一条更具性价比、更高能效比、更大算力发展空间的AI大算力芯片......
国微思尔芯助力AI芯片更快上市,落地多款AI应用;在芯片设计领域,AI芯片近几年呈现出跨越式发展进程。不同以往的是,中国公司在AI芯片领域与国际公司的起点并没有存在巨大的差距,甚至......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用;本文引用地址:摘要: ●   新思科技携手芯片设计生态系统,通过DSO.ai率先实现100次流片,覆盖......
专门培训的大型语言模型被重新命名为ChipNeMo模型。 该公司最初版的AI应用程序包括一个可回答有关英伟达芯片架构和设计问题的聊天机器人助手,一个为芯片设计软件编写代码片段的生成器,以及......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用;屡获殊荣的新思科技DSO.ai解决方案通过大幅提高芯片设计效率、性能和云端扩展性,助力客户实现新突破 摘要: 新思科技携手芯片设计......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用;屡获殊荣的新思科技DSO.ai解决方案通过大幅提高芯片设计效率、性能和云端扩展性,助力客户实现新突破摘要:• 新思科技携手芯片设计......
新思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai;行业领袖们在2023新思科技全球用户大会上,分享交流AI技术在芯片设计、模拟、验证、测试和制造等方面的应用 摘要......
交互、以及AI图像处理等集成电路芯片设计。市委常委,临港新片区党工委书记、管委会主任朱芝松,临港新片区党工委副书记吴晓华,TCL科技集团董事长李东生等领导出席签约仪式。 临港......
鲲云科技采用芯华章穹瀚GalaxFV 加速AI芯片设计;  后摩尔定律时代下,由于集成电路规模不断扩大、复杂度日益提高,芯片前端验证技术面临一系列的巨大挑战。特别是复杂AI芯片设计中,众多......
资源密度和数字逻辑时钟频率的提升幅度逐代次衰减,导致芯片设计厂商只能通过增加芯片面积以提升集成度,不断挑战光罩极限。 Chiplet(芯粒,或小芯片)因其具有提升良率、突破光罩极限、芯片架构灵活、芯片组件技术供应货架化等优异特点,受到......
-ISP等子系统,给AI设备带来了颠覆性的技术升级,将有力促进AIGC的快速发展。在汽车方面,芯原拥有获ISO 26262功能安全认证的处理器IP和车规级接口IP,可为客户提供完整的车规级芯片设计......
随着 AI 的发展,越来越多的公司开始将其引入芯片设计制造。 AMD 首席技术官 Mark·Papermaster 之前就透露,AMD 在半导体设计、测试与验证阶段均已开始应用 AI,未来计划在芯片设计......
的人员也已经在哲库公司进行了团队磨合。通过这种无缝衔接,可以让Momenta的芯片设计团队在最短时间内就开始工作。 打造芯片设计能力的重要性 作为自动驾驶领域的核心零部件,底层AI芯片的作用不可取代。一旦掌握了芯片设计能力,对于......
AI芯片企业嘉楠科技与阿里云龙蜥开源社区达成战略合作;2月13日,国产 AI 芯片设计企业嘉楠科技指出,公司于近日与龙蜥社区(OpenAnolis)达成战略合作。 嘉楠科技表示,此次......
与布局将导致交通(数据)的堵塞。因此,通过使用图神经网络(GNN)分析芯片设计中的这一问题,有助于凸显关注领域,并智能化的处理问题。 最后,使用AI来加强自动化标准单元迁移部分,Bill Dally指出,这是英伟达芯片设计......
据分析平台中添加了人工智能,以实现更好的 PPA 和更高的制造良率。 Synopsys 还构建了 Synopsys.ai,这是一种生成式 AI 解决方案,可帮助芯片设计团队的更多初级成员获得有关无数 AI 工具......
硅谷:设计师利用生成式 AI 辅助芯片设计;芯片工程师展示了一个高度专业化的行业如何使用 NVIDIA NeMo 来定制大语言模型,以获得竞争优势。 10 月 31 日,NVIDIA发布......
硅谷:设计师利用生成式AI辅助芯片设计;芯片工程师展示了一个高度专业化的行业如何使用 NVIDIA NeMo 来定制大语言模型,以获得竞争优势。本文引用地址: 10 月 31 日,NVIDIA......
硅谷:设计师利用生成式 AI 辅助芯片设计;芯片工程师展示了一个高度专业化的行业如何使用 NVIDIA NeMo 来定制大语言模型,以获得竞争优势。 10 月 31 日,NVIDIA 发布......
硅谷:设计师利用生成式 AI 辅助芯片设计;芯片工程师展示了一个高度专业化的行业如何使用 NVIDIA NeMo 来定制大语言模型,以获得竞争优势。 10 月 31 日,NVIDIA 发布......
巴巴。此次融资后,公司将持续完善产品矩阵,包括SV100系列产品线(云边AI推理和视频产品线)在国内外市场的大规模落地, 加大图形GPU产品线的研发投入,并开始布局其他智能产品线。 高端芯片设计......
新思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai;行业领袖们在2023新思科技全球用户大会上,分享交流AI技术在芯片设计、模拟、验证、测试......
亿铸科技荣获2023中国半导体芯片设计创新奖; 2024年1月15日 – 2024年1月10日-17日,中国科技领域最有影响力的大会之一,WIM 2023(World Innovators......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用; 摘要: · 新思科技携手生态系统,通过DSO.ai率先实现100次流片,覆盖......
新思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai;本文引用地址:摘要: ●   可为芯片设计提供AI驱动型解决方案,包含数字、模拟、验证、测试和制造模块。 o   AI引擎可显著提高设计效率和芯片......
讯云行业大模型和量子计算领域的全栈布局,腾讯云为芯片设计场景提供完善的解决方案,从开发、到设计、到AI辅助能力。 (1)云为基础 腾讯云是中国领先的云计算服务商之一,其云服务能力享誉全国。数据显示,2023年,腾讯......
加速,AI芯片设计效率提升的话题也尤为受到关注。而在AI模型研发的整体框架中,诸如数据处理、生产环境部署、算力资源管理、研发环境管理等环节成为了AI研发中隐藏的技术债务,对企......
的另一个关键需求。 多年来,莱迪思半导体一直致力于开发能够实现这些类型功能的软件工具,并拥有一整套产品。从将现有或新构建的AI模型调整为在其低功耗设计上最高效运行的格式,到创建对这些模型最有效的电路和芯片设计......
的另一个关键需求。多年来,莱迪思半导体一直致力于开发能够实现这些类型功能的软件工具,并拥有一整套产品。从将现有或新构建的AI模型调整为在其低功耗设计上最高效运行的格式,到创建对这些模型最有效的电路和芯片设计,这些......
的另一个关键需求。 多年来,莱迪思半导体一直致力于开发能够实现这些类型功能的软件工具,并拥有一整套产品。从将现有或新构建的AI模型调整为在其低功耗设计上最高效运行的格式,到创建对这些模型最有效的电路和芯片设计,这些......
C语言,如何颠覆芯片设计流程?;AI浪潮下,算力正在不断膨胀,可以说,谁拥有更多算力,谁才会在市场拥有更多话语权。而与之相悖的是,算力如此紧缺的前提下,芯片性能正在被逐渐榨干,随着......
C语言,如何颠覆芯片设计流程?;作者: 付斌AI浪潮下,算力正在不断膨胀,可以说,谁拥有更多算力,谁才会在市场拥有更多话语权。而与之相悖的是,算力如此紧缺的前提下,芯片性能正在被逐渐榨干,随着......
新思科技DSO.ai助力客户完成100次流片,引领AI芯片设计中的规模化应用;屡获殊荣的DSO.ai解决方案通过大幅提高芯片设计效率、性能和云端扩展性,助力客户实现新突破摘要:本文引用地址: 携手芯片设计......
AI聊天机器人热潮升温,人工智能半导体市场需求急剧上升。韩国三星受热潮推动,有望拿下更多大客户晶圆代工订单。 报道引用韩国市场人士说法,三星晶圆代工事业部接到韩国本土AI芯片设计商Furiosa......
测中国在需要庞大数据量采集和挖掘的应用场景会出现全球领先的AI技术和独角兽公司。 工具上云是被EDA业界提及了多年的一个趋势,芯片设计业是否已认同? Cadence南京凯鼎电子副总裁刘矛表示,大规模并行计算的算法和拓扑结构使得芯片设计......
体一直致力于开发能够实现这些类型功能的软件工具,并拥有一整套产品。从将现有或新构建的AI模型调整为在其低功耗设计上最高效运行的格式,到创建对这些模型最有效的电路和芯片设计,这些应用几乎可以胜任任何工作。这种......
阿里巴巴芯片设计投资板块,新添一只独角兽!;这几年以来,众多互联网公司纷纷进击半导体行业,藉由投资迈入芯片领域的企业不可胜数,例如腾讯、小米、百度、字节跳动等,其中......
立的AI芯片设计创业公司,是国内第一家完成人工智能训练和推理芯片迭代的科技公司。近年来,内外环境的变化,让燧原科技面临诸多新挑战。 一方面,是全球供应链的变化带来产业转移需求,导致芯片设计......
亿铸科技荣获2023中国半导体芯片设计创新奖;2024年1月15日 – 2024年1月10日-17日,中国科技领域最有影响力的大会之一,WIM 2023(World Innovators Meet......
的需求占主流的时代即将过去,以AI/5G和Auto/IoT为代表的工业和消费类数字化转型,对芯片的需求日渐占据主流。对中国半导体行业企业而言,如何在“AI/Auto芯片设计与制造大潮来临之际,迎头......
Intel自研AI开发工具:6周芯片设计变几分钟;4月17日消息,Intel官方宣布,工程师内部研发了一种新的增强工具,可以让系统级芯片设计师原本需要耗费6个星期才能完成的热敏传感器设计,缩短......
 刘仁辰博士表示:“智能汽车是我国汽车产业发展的战略方向,也是核心关键技术的高地。安谋科技作为国内最大的芯片设计IP开发和服务供应商,致力于从技术到生态全面推动算力革命,赋能本土产业创新。非常......
视觉算法和SoC芯片设计为核心的系统方案供应商,专注于端侧通用算力AI SoC芯片的研发,致力用AI芯片为万亿终端设备智慧赋能。 公司成立于2016年,创始管理团队属于中国最资深的一批SoC芯片设计专家,拥有......
Rapidus与AI新创Tenstorrent结盟;日本芯片制造商Rapidus表示,正与加拿大AI新创公司Tenstorrent结盟,后者在AI芯片设计极具优势。 Rapidus去年......
建伟介绍,为让芯片更好地“感知”世界,爱芯元智在芯片设计上以AI赋能ISP,使ISP能够不断得到训练,突破传统ISP 瓶颈,让图像画质得到明显改善并随模型迭代快速提升。 同时,算力也成为AI芯片......

相关企业

;海浩科技公司;;芯片设计 方案设计
;松翰科技;;芯片设计
;巨人芯片设计公司;;null
;欣扬半导体;;2.4G RF芯片设计
力量雄厚,有一流具有丰富集成电路设计验的芯片设计人员,本公司在基于已拥有的多项独有的芯片设计技术、成熟的芯片设计流程技术、顺畅的芯片封装渠道、成功的市场运作经验。欢迎您来电咨询,我们
;深圳市讯连电子;;长期从事芯片设计
;天津南大强芯半导体芯片设计有限公司;;
;天津市南大强芯半导体芯片设计有限公司;;国有企业
;南京国巨电子;;南京科力微电子科技有限公司专门从事具有自主知识产权的专用集成电路(ASIC)的设计和销售。公司开发设计力量雄厚,有一流具有丰富集成电路设计经验的芯片设计人员,与国
;科山微电子;;科山微电子是一家专注于射频及模拟芯片设计,开发和销售的创新型企业 。 核心技术人员在美国世界一流的集成电路设计公司有着多年的技术开发和管理经验,在射 频/模拟和无线通讯芯片设计领域拥有世界领先的技术成果和丰富的研发经验。