加速车规级芯片设计实现,新思科技助力国产芯片上车

2023-03-10  

为兼顾更长的使用期限和更高的良率,车规级芯片在进行半年到一年的产线认定之后,原则上不可以更改生产设备和制程步骤与时间。因此,在芯片制造设计环节就确保其可靠性,助推其通过行业标准验证,是降本增效的关键路径。


2023年2月21日,新思科技(Synopsys)应邀参加盖世汽车第二届汽车芯片产业大会并发表演讲,作为全球领先科技公司的Silicon to Software™(“芯片到软件”)合作伙伴,新思科技与诸多国际顶尖芯片提供商有紧密合作,可以提供车规级IP套件、全流程芯片设计EDA工具、软硬件协同虚拟原型技术、软件代码监测等全套解决方案。


新思科技汽车业务拓展总监武钰以《加速车规级芯片设计实现,助力国产芯片上车》为主题,针对黑天鹅频发下芯片供应链面临的挑战进行分析,她强调,作为全球排名第一的芯片电子设计自动化(EDA)解决方案供应商及半导体IP供应商,新思科技会充分发挥自身在芯片软硬件技术整合、产业生态等多方面优势,陪伴中国芯片企业成长,助力国产芯片把握智能汽车新机遇。


加速车规级芯片设计实现,新思科技助力国产芯片上车


新思科技汽车业务拓展总监 武钰


黑天鹅狂舞,车规级芯片供应链安全如何保证?


汽车从机械式转向电动化、智能化,所需的芯片数量也水涨船高。


传统汽车一般会用到500-600颗芯片,而新能源汽车中应用到大量的DC-AC逆变器、变压器、换流器……这些部件都需要半导体器件支撑,据悉,2021年平均每车的所需芯片数量已经超过1000颗,高端新能源汽车所需芯片更是高达2000颗左右。


显然,新能源汽车正成为拉动汽芯片行业增长的重要驱动力。英特尔CEO帕特·基辛格预测,到2030年,“万物数字化”将推动芯片在全新高端汽车物料清单(BOM)中的占比超过20%,这一数字将比2019年的4%增长5倍之多。


终端需求的迅速拉升,恰好对上黑天鹅频发背景下的全球芯片供应收紧,汽车市场由此迎来长达两年的缺芯潮,武钰指出,由于车规级芯片紧缺,整车厂、Tier1以及一些消费类芯片的厂商纷纷涌入市场,进一步加剧了竞争。


泥沙俱下时,企业更要精准地把握市场需求,想要加入战局的玩家第一步必须理清消费级和车规级的区别。


类比传统的车规级元器件,一款车规级芯片需要经历的环节包括但不限于“需求管理、安全关键设计、功能故障仿真、审查和报告,以及第三方评估的安全认证”,汽车级的产品的可靠性需求更贴近于硬化IP,其中有两个重要的部分,一是PPM指标,二是温度任务概述定义。


这仅仅是传统汽车元器件的需求,从离散式E/E架构演进至域控架构、最终走向中央计算平台,汽车所需芯片必然要面临更复杂的工况和更高的性能要求;此外,“软件定义汽车”的大潮下,软硬件迭代周期也要大幅缩短,传统“先硬件后软件”的方式已经无法满足中国市场的需求;更值得关注的是,汽车要成为新的数字终端,信息安全问题始终是产品迭代发展的核心壁垒,安全IP和软件管理系统在其中会起到关键作用。


针对以上问题,新思科技可以从生态圈支撑、软硬件虚拟验证、软件安全三方面提出解决方案:


第一,依靠自身与全球芯片头部企业的多年合作经验,新思科技可以和中国客户在电子电气架构、车载大算力芯片的定义阶段进行深度沟通,在架构设计之后再引入EDA和IP产品,帮助客户实现高性能、高可靠、大算力的多核异构计算平台。


不仅如此,新思科技在车载半导体领域的丰富经验也可以降低客户产品进入供应链的门槛,使国产芯片更快速地适应未来电子电气架构的拓扑、级联和通讯方式。


第二,传统设计和测试车载ECU的过程中往往存在“硬件量产时间长,与之配套的软件测试时间短”这一问题,面向越来越复杂的软件和中间件,新思科技提供了一种虚拟原型工具,可以在芯片和硬件还未量产之前,就通过数字化原型进行虚拟验证。


依托在行业内的多年经验,新思科技拥有国内外大部分芯片的软件模型,这也可以帮助客户提前或同步验证算法和测试软件,提高验证效率、降低成本、缩短开发周期。


最后,面对加密保护和信息安全问题,新思科技有完整的软件安全与代码质量解决方案。


“从芯片到软件”:新思科技的全套产品与解决方案概览


以上提到的支持之外,新思科技提供的实际是“从芯片到软件”的全套解决方案。


就最底层的芯片制造技术而言,硅工程是芯片生成和电子设备创新的基础,新思科技可以提供的硅工程工具经过低至 5nm 及以下成熟和新兴工艺节点的生产验证,可以在速度、面积、功耗、可测性和良率之间实现理想权衡。


在芯片设计环节,EDA行业对整个集成电路行业有着 “牵一发而动全身” 的重要性,EDA本质是将逻辑需求转化为物理实践的复杂进程,由于车载芯片的复杂度越来越高,内置模块也越来越丰富,对EDA工具的要求自然渐趋严格,新思科技的EDA工具已经经过英飞凌,NXP,瑞萨等老牌芯片企业的反复验证,可以帮助国产芯片实现更高的工作效率。


EDA之外,芯片IP也非常重要,如果说此前车载芯片都是以MCU为主,IP并不占主导因素,那么随着智能化趋势的演进,大算力AI芯片、高性能通讯芯片被应用在车载领域,高速接口类IP的重要性与日俱增,新思科技的车规级IP产品可以帮助企业规避芯片量产过程中的主要风险,并助力产品尽快通过可靠性的考验。


武钰介绍,新思科技在多年前就已经推出车规级IP的产品线,对功能安全,可靠性和质量体系进行了严格分级。新思科技从1995年进入中国市场,建立研发和技术支持团队,能够深度体察中国汽车市场的需求。


就系统设计和软件研发而言,新思科技可以提供软硬件协同的虚拟原型技术,缩短软件的迭代周期,并最大限度降低整个 SDLC 的业务风险。


武钰介绍:“我们可以将SoC级芯片方案虚拟化,让客户在尚未流片前拿到芯片的数字化原型,最多提前18个月对软件进行部署和测试,在芯片制成后可以直接用开发成型的软件进行移植,由此加快软件研发的整体速度,进而缩短开发周期。”


她进一步指出,这套方案已经在欧美实现多年,具有成熟的技术基础,并与诸多Tier 1, OEM厂商进行深层次的合作,这不仅大幅扩展了新思科技的虚拟MCU/SoC模型库,也有助于新思科技帮助开发者消除片上系统(SoC)设计过程中的不确定性。


综上,新思科技的产品链实际从底层硅基工程贯穿到最上层的软件实现,不仅可以满足芯片企业的需求,也可以解决车企、Tier 1在芯片自研过程中的各项问题。


实际上,缺芯潮正推动下游企业不断向供应链上游渗透。传统车企和Tier 1已经不满足于完全依赖车载芯片供应商的模式,而是要强化自身在供应链上的影响力,新势力车企也在大力发展软件自研、算法自研、芯片自研等技术,旨在打通智能网联汽车的垂直产业链。


武钰指出,要自研车载芯片必须从设计阶段入手,从更系统的视角出发,在架构合适程度、开发周期、功耗、集成性之间取得最优解。这也是新思科技能够给客户提供的最大助力:新思科技可以将产品需求转换成芯片架构、子系统设计、IP设计等环节的具体定义,并基于规范、完整的流程帮助客户开发子系统。


此外,车规级芯片在运行过程中的安全问题也广受关注。


武钰直言,不能将所有希望寄托在完美的芯片上。要保证芯片在运行周期内足以承载高温度,高压力的各种复杂工况,新思科技的芯片生命周期管理平台 (SLM) 就为这一痛点提供了解决方案:通过对芯片设计、制造、测试、最终用户系统部署的全过程进行追踪,对获取信息进行监控、分析和优化,SLM解决方案实现了芯片全生命周期、全使用场景覆盖,通过一系列数据关联性和根本原因分析,能够加速芯片的“良率学习”流程,从而优化最终产品良率,从而助推车规级芯片的实现。


武钰总结道,两年的缺芯潮虽然给行业带来了挑战,另一方面也是国产芯片进入汽车供应链的绝佳机遇。新思科技可以结合自身在软件、芯片领域的专业能力与生态合作经验,帮助中国企业充分发挥自身优势,在产品性能、质量、可靠性上满足车规级要求,助力国产车载半导体快速上车。


文章来源于:电子工程世界    原文链接
本站所有转载文章系出于传递更多信息之目的,且明确注明来源,不希望被转载的媒体或个人可与我们联系,我们将立即进行删除处理。