浅谈时分复用音频接口:TDM 和音频转换器TDM接口

2024-01-09  

在音频产品中传输多声道数字音频数据可能是一项挑战。涉及信号路由和在数字信号处理器和转换器上提供足够数量的输入/输出端口的复杂性可能是一项艰巨的任务。因此,业界采用了时分复用 (TDM) 接口,允许在单条数据线上传输多个通道的数据。TDM 接口是迄今为止最常用的机制,用于在系统内的设备之间传输多声道音频数据,如图 1 所示。TDM 接口尚未标准化,TDM 格式之间可能存在变体。幸运的是,DSP 器件中的 TDM 端口是可编程的,将支持多种选择。

pIYBAGC12qWAXywyAABU9_UD4AA246.png

限制 ADC、DAC、多功能音频编解码器和其他高性能混合信号产品的 TDM 接口的灵活性程度是有利的,以避免由于时钟干扰导致的潜在性能下降。因此,Cirrus Logic 选择对音频转换器产品的 TDM 格式进行标准化,并支持 DSP 设备可用的部分选项,包括 Cirrus Logic 的 DSP 产品。本文档旨在概述 TDM 接口并讨论 Cirrus Logic 音频转换器产品支持的 TDM 格式。


时分复用概述

TDM 接口类似于 Cirrus 应用笔记 AN282 中讨论的 2 通道串行音频接口,不同之处在于在一个采样帧或采样周期内传输更多通道(通常为 4、6 或 8 个),如图 2 所示. 与通道 2 串行音频接口一样,TDM 接口由两个控制时钟、帧同步脉冲 (FSYNC) 和串行时钟 (SCLK) 以及串行音频数据线 (SDATA) 组成。

o4YBAGC12rCAJ38FAABY-YABo8o166.png

通用 TDM 接口

音频转换器 TDM 接口

所有 Cirrus Logic 转换器产品都能够作为系统时钟的从属设备运行,例如 DSP 生成的串行时钟和 FSYNC。在此模式下工作时,帧同步所需的脉冲宽度在图 3 中非常灵活,其中最小高电平时间为串行时钟的一个周期,最小低电平时间也是串行时钟的一个周期。许多 Cirrus Logic 产品还能够提供系统时钟或作为系统时钟主设备运行。在此模式下运行时,FSYNC 的占空比为帧周期的 50%,如图 4 所示。

pIYBAGC12rqAdnyyAAB0_k29kYw682.png

Cirrus Logic TDM 系统时钟从属格式

o4YBAGC12siAM5RdAABVpNpS9Gw796.png

Cirrus Logic TDM 系统时钟主格式

通道块

数据发送器的标准 Cirrus Logic 实现是一个 32 位通道块,具有 24 位音频数据,如前所述。数据接收器的标准实现是具有 24 位音频数据的 32 位通道块。请注意,尾随 8 位填充不需要为零,因为接收器将忽略尾随 8 位。


有限数量的 Cirrus 逻辑产品支持用于 16 位数据的 16 位通道块。请注意,数据发送器和接收器都必须配置为 16 位通道块。许多 DSP 设备还支持 24 位通道块,这对于传输 24 位音频数据非常有效。不幸的是,这需要串行时钟和数据速率,它们与混合信号产品中的转换过程是异步的。这会降低模拟性能,因此,Cirrus Logic 转换器产品不支持 24 位通道块。


文章来源于:电子工程世界    原文链接
本站所有转载文章系出于传递更多信息之目的,且明确注明来源,不希望被转载的媒体或个人可与我们联系,我们将立即进行删除处理。