芯片,国之重器

2022-01-13  

限制、缺货、自主意识、技术进步贯穿2021年中国半导体业。按照SIA 2022年的最新预测,中国半导体器件销售额在2024年将排名全球第三位,仅位居美韩之后。

受疫情影响,二次改期后,ICCAD于2021年12月22至23日在无锡成功举办。

ICCAD上,中国半导体行业协会IC设计分会理事长魏少军教授的年度重量级报告呈现出了2021年中国IC设计业的现状:中国本土IC设计公司为2810家,较2020年增加592家,数量保持高速增长,相对于2020年增加为438家;销售额增长20.1%,较2020年降低3.7%。其中,上海、北京和深圳销售额继续占据前三位,济南、天津和南京的增长率超过100%,而仅深圳和香港出现负增长。

在上届ICCAD会议上,魏少军教授指出中国国产EDA继模拟全流程设计工具开始进入市场参与竞争,在数字电路流程上也形成了一系列可圈可点的单点工具。“相信再经过几年的努力,中国也可以拥有自己的数字电路全流程设计工具。”

2021年,这些已经发生。代表性的事件包括已上市或已申报获批的本土EDA/IP厂家。

在2021 ICCAD会议期间,ASPENCORE分析师采访了多家国际和本土EDA/IP和Fabless企业管理者。

AI助力EDA

面对动辄数百亿晶体管的芯片设计规模,以及异构集成、系统级封装、Chiplet等新的封装方向,AI辅助已成设计工具的有效内涵或助力。

AI设计正在从概念升级到实战阶段。无论是在EDA工具中应用AI算法的“AI Inside”,还是关注如何设计EDA工具助力AI芯片高效设计的“AI Outside”,EDA界和学术界都在行动。

AI在EDA工具中应用,已发展到什么程度?

Cadence在应用AI和机器学习领域走在前列,相关产品已经成熟并实现商用。“但并不是所有EDA工具都适合用机器学习,其中三个主要方向我们认为是目前最适合的,包括大规模数字IC优化、PCB设计综合以及数字仿真验证。”Cadence公司中国区总经理汪晓煜介绍到。

Cadence公司中国区总经理汪晓煜

Cadence在大规模数字IC优化上使用机器学习的工具称为Cerebrus,可以扩展数字芯片设计流程并使之自动化,和Cadence RTL-to-Signoff流程联合使用,也能为高阶工艺芯片设计师、CAD 团队和 IP 开发者提供支持。据介绍,与传统人工方法相比,采用机器学习的工具能够将生产力提高 10 倍,同时可将PPA改善 20%。

在PCB设计综合方面,Cadence Allegro XDesign Platform是该公司与麻省理工合作完成的业界首个用于系统设计工程平台,可实现原理图、布局、分析、设计协作和数据管理的统一集成。汪晓煜表示,“在该领域,我们花了三十多年时间。PCB相对于大规模芯片来说规模小很多,为什么布局机器学习技术要花那么多时间?因为综合布局布线看似简单,但做好并不容易,我们与许多国际巨头做了很多尝试,最终现在可以满足客户的优化需求开始正式商用。”

在AI技术的应用上,Synopsys于2020年发布的设计空间优化AI技术(DSO.ai)据称是业界首个用于芯片设计的自主AI应用,能够在大型芯片设计解决方案空间中搜索优化目标,利用强化学习来优化功耗、性能和面积。原理上,DSO.ai通过大规模扩展对设计工作流程选项的探索,同时自动化作出非主要的决策,从而提高芯片设计生产效率。

鸿芯微纳成立于2018年,由国微集团、大基金和深圳市引导基金投资,专注于数字后端EDA工具,目前可以支持逻辑综合、布局布线以及时序签核。

鸿芯微纳CTO王宇成

AI会带来什么益处?鸿芯微纳CTO王宇成举例到:“用两家同类型同级别的EDA工具设计芯片,可能最终做出的产品PPA表现差不多,但如果AI技术运用得好,可以把后端工具的运行时间缩短。例如计算时延(delay)如果采用AI,可以根据建模精确度把解决方案代入到时延范围内,得到你最终想要的结果。”

AI还可以在前端设计时,通过建模检测其在后端的运行结果,让工程师知道他们现在前段的优化动作在后端是否有效,缩减迭代的速度。但王宇成认为目前的AI技术还很难拿来做签核,因为基于建模的方式还不够精准,只能给出大概范围。

同属国微集团旗下的国微思尔芯创立于2004年1月,聚焦于数字芯片电子设计前端验证业务。国微思尔芯资深副总裁林铠鹏将AI应用分为两个部分来解读,一是用EDA工具帮助高效设计AI芯片,也就是“AI Outside”;二是AI技术在EDA工具的应用,就是“AI Inside”。

AI Outside方面,近年来做AI芯片的厂商持续增多,相比传统芯片,AI芯片的迭代速度更快、规模更大。国微思尔芯主要为这部分客户验证AI芯片性能和收敛能力,以及芯片如何与上层应用集成并迭代,国微思尔芯针对AI的分布式、矩阵式等运算特点,推出的解决方案能够在特定领域得到更快的结果。AI Inside方面,林铠鹏提到了业界目前的一种观点:AI用于EDA工具能在某种程度上降低对国内EDA人才的迫切需求。“AI技术的加持,可以在开发过程中获得更多人力的解放,加快过程的收敛。”林铠鹏表示。

Synopsys中国区副总经理许伟认为设计芯片需要折衷判断哪个做法更好或更合适。“要做到这种判断,AI技术一定要达到更高的一个层面,拥有非常好的性能。今天的AI无论算力和算法多么强大,始终是辅助作用,最大的用途是把专家从过去琐碎、需要手工反复操作的环节解放出来。”

新思科技中国区副总经理许伟

EDA上云:趋势,但国内用户谨慎

EDA上云是一个大趋势。

Cadence从2014年左右开始研究EDA上云,早期与AWS、微软以及台积电合作在云上做了诸多尝试。“三年前,通过内部团队和外部客户评估后,我们的上云方案已经完全成熟,Cadence所有的工具都可以上云并通过安全认证。”汪晓煜分享到,“我们发现喜欢用EDA上云客户的大部分在欧美地区,无论小公司还是大公司都喜欢上云,尤其是小公司不愿意建立自己的IT,甚至机房也没有。”至于合作方式,可以与AWS或者直接与Cadence谈授权,直接在用户电脑上登陆使用。

Synopsys的许伟认为无论从算力还是大数据等方面,EDA上云都会带来更大的优势,尤其对于成长型企业将会获得更多的便利,包括更低的成本和更高的效率。当前越来越多公司开始自己组建私有云甚至公有云,这种趋势会对各类基础设施带来大量调整,据悉新思科技很早就与微软展开合作,在微软Azure上运行的IC Validator物理验证解决方案在不到9小时的时间内,完成了对AMD Radeon Pro VII GPU(超过130亿个晶体管)的验证。

芯华章的云原生概念,是以EDA工具或未来推出跟上云有关的工具和服务,帮助客户更好地利用云端弹性算力,另一方面也能够帮助云厂商更好地对接和服务芯片厂商,解决传统EDA厂商解决不了的问题。芯华章产品和业务规划总监杨晔表示,云原生是一个概念,技术上一般是指用容器化做弹性微服务,但这里云原生的含义是以产业应用为核心,让云成为一个随时可用的辅助工具,不是通过上云提高身价,而是要解决用户实际操作过程中使用、垂直应用工具中碰到的上云问题。

EDA上云的难点之一是云算力的分享。EDA无论是设计还是验证对算力的需求都非常大,初创芯片设计公司很多时候承受不起自建云,只能在公有云上“削峰填谷”。林铠鹏认为,如果能采用私有云、公有云混搭方式来提供更合理的解决方案,对于很多初创中小型公司而言会有很大帮助。此外,还要考虑信息和数据安全,以及商业模式上如何提供合理的授权方式。

不过即便EDA上云有着更高的便利性、灵活性,还可以根据实际情况决定授权用多久,几家EDA厂商也都表示,目前真正完全在云端使用EDA的设计企业很少,尤其是国内企业。

按照摩尔精英董事长兼CEO张竞扬的观察,纵观整个半导体行业,由于各家公司的研发部门分散在各个城市甚至国家,导致整体设计流程都在向云端发展。即便是20人左右的小公司,工程师也可能分布在国内外的五、六个城市,再加上公司规模较小不适合单独拥有IT团队和机房,云端成为小客户更方便的选择。

摩尔精英董事长兼CEO张竞扬

另一个原因是仿真对于高算力的要求,张竞扬举例到,“7nm项目单个仿真就需要1万多个CPU core,这种情况下传统机房很难满足,只能用云解决算力问题。但现在用云的费用昂贵,在微软云上使用一个月就超一百万美金。”为此摩尔精英推出了IT/CAD及云计算服务能力,为芯片设计团队提供企业级IT基础架构及技术服务,为70多家公司搭建了芯片设计平台,包括燧原、汇顶、芯驰等知名企业。据介绍,这款芯片设计开发平台架构适用于芯片行业的最佳实践,将高性能计算、云计算、安全体系、CAD体系、数据管理、虚拟化平台等技术融合。其中CAD服务是架构的核心,对标国际先进芯片公司的CAD管理体系。

摩尔精英至2021年已经为十几家客户搭建混合云设计平台,大部分在本土自己机房内完成,但对于低敏感度、低交互、高算力要求的验证项目,必须要上公有云。“这里遇到的最大问题是云上EDA工具的license,大部分正版license仅支持最低一个月的购买,对于小厂商来说很多时间是浪费掉了。我们也希望EDA厂商尽快推出新的商业模式帮助这些中小企业。”张竞扬呼吁到。

3D IC、Chiplet可延续摩尔定律?

ICCAD的热点话题包括3D IC、Chiplet,涉及到2.5D、3D的异构集成封装。

据介绍,早在2013年,当时还名为Mentor的西门子EDA就已有支持CoWoS和整合型扇出(InFO)封装的工具解决方案,并有客户采用做出了异构集成封装的芯片。

业界人士的一个观点认为Chiplet也许是当今时代的更好选择,用一个个IP的组合来延缓摩尔定律。如何把异构的部分集成到一块硅基上,是目前业界正在解决的问题。

西门子EDA全球副总裁兼中国区总经理凌琳在接受ASPENCORE等媒体采访时表示,“以工艺节点论,我刚入行时还在做0.25或0.18微米,现在3纳米的样片已经出来了。过去20年跨越了十几个技术节点,摩尔定律能一路坚持下来,是全行行业生态系统努力协同的结果。”

据凌琳透露,两年前在西门子EDA的支持下,AMD和台积电依靠CPU+GPU的Chiplet组合赢得了市场。在这个案例中,EDA厂商需要在早期就跟Fabless、Foundry共同协作,才能支持芯片在设计上达到Chiplet异构集成封装的标准。

西门子EDA全球副总裁兼中国区总经理凌琳

凌琳认为,摩尔定律不是技术定律,而是经济定律。因为“技术演进要考虑成本压力,实现缩微的成本要大家买得起,技术往前推进才有意义。EDA工具传统上是跟随支持摩尔定律向前发展的,先进工艺给EDA带来了更高的挑战,包括物理现象、新型半导体材料以及新型半导体设备的演进,EDA都必须完全跟上。”

面对摩尔定律的放缓,业界普遍认为3D IC能够释放系统性能空间,是为摩尔定律“续命”的最佳方法。对此Synopsys的许伟认为,3D IC的确是延续摩尔定律的有效途径之一,并且这个需求已经在爆发,是真实存在的。他举了三个场景的例子:

第一是高性能计算中的高带宽内存(HBM),目前HBM都不是独立芯片,需要替代方案;第二是高性能计算中的GPU、NPU,这类芯片内核通常采用先进工艺,但接口12nm即符合要求,可将不同工艺节点运用在同一颗芯片中;第三是网络芯片,目前网络芯片越做越大,一个局部差错就会导致整颗芯片失效。

过去开发者们设计3D IC时一直采用单点工具,涉及不同团队间的繁琐交付。“因此,新思科技推出了统一的计算平台3DIC Compiler,采用统一环境来最大限度提高生产力。”许伟说到。

Synopsys最近提出的SysMoore理念体现了不同方法学的整合,引领芯片行业从单一追求集成度,提升至复合维度增长的系统摩尔时代。

2021年10月,Cadence向业界正式交付全新的Cadence Integrity 3D IC平台。据悉这是业界首款完整的高容量3D IC平台,将设计规划、物理实现和系统分析统一集成于单个管理界面中,客户可以利用平台集成的热、功耗和静态时序分析功能,优化芯片的PPA。汪晓煜表示:“3D IC设计需要的模拟、数字和PCB、封装工具,Cadence可以提供完整流程的工具,近年也将多物理场仿真短板补齐,整合在自家平台中,实现了整个数据库的统一。”

无疑,一个统一的整体数据库,对芯片设计行业具有极大的价值。

3D IC是一个系统性的工程,牵扯到设计、封装、实现等多个层面。Cadence表示会与客户共同实现整个设计流程,合作方包括其客户、foundry和封测厂商等。

国内EDA厂商方面,鸿芯微纳也有自己的计划。王宇成认为,后摩尔时代的工艺节点微缩遇到瓶颈,3D IC是未来一个大方向,需要提早布局。据透露,鸿芯微纳的一些客户也提出了这方面的需求,双方正在计划合作中。目前鸿芯微纳计划先实现一个3D IC的设计管理与调度平台,这个平台将支持Die与Die的再堆叠、3D组件建模、以及3D时序分析等功能。

“芯片公司需要降本增效新模式提升效率,采用SiP异构封装集成复用Chiplet,能够达到十倍提升研发和运营效率的效果。”摩尔精英董事长兼CEO张竞扬表示。

摩尔精英封装服务主要聚焦在工程批快封、系统级SiP封装设计、量产管理三大业务。据介绍,刚开业的摩尔精英无锡SiP封测中心一期面积1.5万平,最核心的业务就是3D IC封装,年产能超过1亿颗。

本土新创EDA公司引人瞩目

EDA三巨头的发展史就是不断并购整合,通过融入新技术的方式来提供新工具。

“即便是国外几家EDA巨头也是从几十年发展中慢慢积累起来的,虽然在产业链上覆盖了更多的点,但这些点无论是工具本身还是产生的数据,都仍比较碎片化。”芯华章产品和业务规划总监杨晔表示,“巨头们在积累过程中形成垄断格局,往往创新动力不足。在这个大环境下,芯华章选择切入EDA产业链的数字验证环节,数字验证不是一个点,而是互相之间有紧密联系的面。”

芯华章产品和业务规划总监杨晔

业内人士普遍认为,验证环节面临三个痛点:工具缺乏兼容性、数据碎片化、工具缺乏创新。这些已成为目前芯片设计追求更快、更强、更简单的三大阻碍。

顺应产业需求,芯华章于2021年11月正式发布四款拥有自主知识产权的数字验证EDA产品,以及统一底层框架的智V验证平台 (FusionVerify Platform)。其中包括国内领先的数字仿真器穹鼎(GalaxSim-1.0)、新一代智能验证系统穹景(GalaxPSS)、国内EDA领域率先基于字级建模的可扩展形式化验证工具穹瀚(GalaxFV)以及高性能FPGA原型验证系统桦捷(HuaPro-P1)。

杨晔表示,动态仿真和静态仿真两个数字验证中的核心功能,在数字验证平台上形成了互补和配合;智能化验证工具则从需求和架构出发,成为连接动态仿真工具的桥梁,和仿真工具相互配合。同时,因为逻辑仿真从调试到性能有各种不同需求,传统上也需要有硬件辅助,FPGA原型验证系统正是对动态仿真方法学的补充。

芯华章产品从用户界面、调试功能、数据格式均具备统一性,底层编译技术和对新型Arm架构服务器的支持上也全部采用统一框架,形成智能化验证平台。在ICCAD 2020期间,ASPENCORE曾报道芯华章提出的EDA 2.0终极目标,平台化、智能化、自动化正是向目标努力迈出的一步,“芯华章力争在数字验证这个面上,给下游芯片和IP厂商带来不一样的体验和更大的价值。”杨晔说到。

“虽然这几年中国出现了很多新创EDA企业,但如果从整个中国的大市场来看,几十家并不算多,二十年前美国的EDA公司也非常多。”国微思尔芯资深副总裁林铠鹏分享到,“现在国内厂商还是集中在一些小的点工具上,在某些领域可能稍显拥挤,但随着产业不断的发展,市场会有自己的调节能力。”

谈到未来国内EDA公司可能面临的整合,王宇成分析道,整合可以有经济上和技术上两种,目前来看后者更符合中国国情。“从EDA工具的角度看,国内厂商如果没有完整解决方案,要客户转而采用国产EDA非常困难,需要专门的机构整合分散的EDA工具使它们变成真正的国产替代完整解决方案。这里的关键技术在于数据库,2002年左右美国就有各种联盟希望通过数据库来进行EDA整合。对中国来说制订自己的EDA行业标准很重要,实现的话就能整合国内EDA,给客户完整的解决方案,而不是某个部分的点工具。”

许伟认为国内半导体产业链的加强和提高证明了整个生态在可持续发展,面对全球缺芯,中国也在资本、技术和人才各个方面努力为全球产业链作贡献。这样不但促使中国不断深度融入全球产业链,也让半导体产业获得中国注入的发展活力,最终达到竞争与合作的平衡。“中国半导体产业的国产化应该是脚踏实地、公平竞争、开放创新的国产化,高水平国产化必然要求高水平的国际化,中国半导体产业只有走这样的道路才会越来越健康。”[!--empirenews.page--]数字孪生将带来超越EDA的概念[/!--empirenews.page--]

数字孪生将带来超越EDA的概念

数字孪生,简单解释它是设计理念到一个物理世界的所有映射和链接。由于EDA专注于芯片和系统应用层面,所以集成电路是最早较完美运用数字孪生概念、并且实现效能和产能的行业。

西门子EDA的凌琳表示,集成电路技术演进快,设计的复杂性倒逼企业用各种解决方案实现芯片的设计和量产,EDA的践行过程也随着摩尔定律演进而提升。这就需要用到数字孪生的一个特点:模型统一化(Unify)和跨领域,在统一的规则下做全生命周期仿真会牵一发而动全身。西门子EDA不光是把数字孪生用在芯片设计上,还会把芯片放在子系统中,对新技术做数字孪生的映射验证。“我们认为,从电子到机械,到包括超级系统都是有能力进行全生命周期仿真、计算和演进的。”

以电动汽车为例,这是当前对数字孪生技术应用最多的领域之一,全球一半的电动汽车企业在中国,其中大部分互联网造车新势力的特点是采用代工制造,自身则只专注设计、电子及软件方面,很多车企还自己设计ADAS甚至自动驾驶芯片。

传统燃油车机械模块采用的都是现有解决方案,但是要自研芯片进入自动驾驶和电动汽车等新领域,投资会大到经不起失败。如何确保第一次做就成功?凌琳表示,西门子的PAVE360就是一个建立在数字孪生概念上的绝佳例子:它是一个完整的自主车辆验证与确认环境,在芯片生产出来之前就可以通过该环境模拟其在汽车中的行为模式能不能达到功耗等方面的要求。

“整车OEM厂商非常需要这样的系统验证,否则他们从Tier1或Tier2采购的芯片未必能完美适配其系统设计,就算自己花大量金钱自研芯片或子系统也不能保证性能比直接采购更好。”凌琳说到,“在芯片生产出来之前,就知道芯片在系统中的性能表现,进而帮助用户完善芯片的定义、实现、生产及量产,这就是数字孪生的能力。”

据凌琳透露,西门子EDA此前与第三方调研机构IC Insight做了一个联合调查,其中关于近五年Foundry客户增量的数据显示,系统公司的年复合增长率达到70%。这意味着晶圆代工厂的大量客户不再是传统Fabless公司,而可能是系统公司的某个芯片设计部门。

从西门子EDA到整个西门子数字化工业软件,就组成了抽象层次更高、更贴近系统的泛EDA概念。

选择IP,更关注性能和可靠性

随着中国集成电路产业发展进入黄金期,一批先进本土IP厂商正逐步打破历史格局,闯出一条创新之路。

IP产业作为集成电路产业链上游的关键环节,在整条产业链中有着旺盛的需求。从全球IP市场的发展情况来看,根据IBS数据的显示,预计全球半导体IP市场将从2018年的46亿美元增至2027年的101亿美元,增长率高达120%,年均复合增速达9.13%。在这么大的全球IP市场需求中,中国市场需求占约30%,但当前大部分IP产品仍由全球较领先的公司提供,中国本土IP公司的自给率还不到10%。

“我们一开始就围绕着物联网应用建立IP生态,首先发展超低功耗模拟IP,后续逐渐增加了射频类的蓝牙、WiFi以及存储类IP。”锐成芯微CEO沈莉在接受ASPENCORE采访时表示。

锐成芯微CEO 沈莉

物联网的概念已经提出很多年,随着手机等移动互联网普及和各种新兴应用带来巨大数据量汇聚到云端,云端的高性能服务器已普遍采用AI技术提供越来越高的算力,这也给互联网应用转移到物联网提供了可能,很多新形态的物联网产品不断涌现。在物联网芯片领域,沈莉看到了两个趋势,一是传统中低端MCU厂商在产品上增加更多功能,逐渐往物联网应用上靠拢;二是具有视觉、语音类AI算法优势的AI公司及互联网大厂也转攻物联网芯片市场,以更先进工艺的SoC为入口开发各种AIoT应用。

然而无论是从哪一个方向进入物联网,无线通讯都是重要的铺路石,并且当前通讯带宽呈现越来越高的趋势,更加拓宽了物联网的应用场景,国产物联网芯片公司的机会也会更多。沈莉认为,“目前这个领域大部分还是高通、联发科等大型芯片公司主导,还有很多碎片化市场值得我们深耕。锐成芯微也推出了WiFi 6和蓝牙等无线IP解决方案,帮助更多的国产物联网芯片企业快速进入这一领域。”

按照沈莉的分析,一个正在发生的明显变化是芯片公司对IP的需求从只求成本,转向更加关注性能和可靠性。

过去几年芯片公司对IP的第一需求是面积要小、成本要低,但沈莉发现最近几年越来越多的公司开始要求IP性能更好、可靠性更高,而不是只关注面积和成本。“这些本土公司要求IP公司能提供性能更好的IP产品,帮助他们进入工业和汽车应用场景,也要对标海外大厂,抓住时机实现赶超。”这也反过来促进国产IP企业的技术创新,而不是停留在互相打价格战或帮客户打价格战的角色。

沈莉认为,IP的价值体现不能简单看价格。“对于芯片设计企业,不管是融资还是产品立项,评估RoI是核心。投入和产出是不是匹配?这个逻辑要反复论证。采购第三方IP时单独看它是不是便宜很难判断,但是比如说我们的多次可编程(MTP)存储器IP能够帮助设计企业把原来两颗芯片整合成一颗,就可以省下远超过IP的成本。我们致力于发展类似这样的创新。”

芯耀辉科技CTO李孟璋认为,从技术来看,IP能够迅速补足客户技术积累不足的短板;从市场化来看,IP是经过大量硅验证的模块,IP的使用能够大大降低芯片研发风险,缩短芯片的产业化周期;从效率来看,IP可以让客户将宝贵的研发资源专注于研发提升自身核心竞争力的关键技术,使产品更具竞争力。

芯耀辉科技CTO李孟璋

2021年3月,芯耀辉与Synopsys联合宣布双方已达成数年期战略合作,新思科技授权芯耀辉运用新思科技USB、DDR、MIPI、HDMI和PCI Express等系列IP核。李孟璋表示,芯耀辉采用双轮驱动战略,在与合作伙伴进行深度合作的同时,坚持自主研发。

芯耀辉商业模式的两大核心思想,一是高质量的精品IP,涵盖完整的IP产品组合以及出色的鲁棒性、可靠性、兼容性、可量产性;二是提供全节点的服务,专业完整的团队功能建制能全方位支持客户每一个芯片设计的环节,同时也构建了高效及时的售后服务体系。

作为一家成立仅一年多的公司,芯耀辉的初期成长非常迅速。2021年2月,芯耀辉宣布完成天使及Pre-A两轮超4亿元融资;5月,芯耀辉再次宣布完成A轮超过5亿元融资,在成立不到一年时间内已累计获得近10亿元融资。客户数量上,2021年较2020年成长10倍以上。“但我们看的不是现在,而是未来,”李孟璋说到,“我们坚定地看好国内半导体产业持续成长,芯耀辉也会随着我们的客户一起成长。”

芯耀辉的客户种类多样,覆盖到产业链上大部分主要领域,如网络芯片、高性能计算及安防监控等。目前公司研发重心在自研产品上,主要针对未来更高速的接口IP,涵盖模拟、数字和子系统设计方案的同时,也会考虑到封装和PCB的协同设计、系统信号性能以及电源完整性的分析及芯片测试。

Foundry:产能!产能!

产能吃紧。作为晶圆代工界的龙头,台积电的一举一动都会对整个半导体产业链产生影响。

在ICCAD 2021上,台积电(中国)总经理罗镇球在接受ASPENCORE等媒体采访时,就产能缓解时间预测、建厂及人才培养策略、南京厂扩产近况等问题做了解答。

台积电(中国)总经理罗镇球

什么造成产能紧缺?

罗镇球认为,本次产能紧缺的因素分为经济因素和非经济因素。至2020年2月前,除了部分汽车电子零部件外,全球其他半导体产能还没有出现全面紧缺,但之后发生的新冠疫情和中美贸易摩擦让几乎所有Foundry产能超载。

芯片制造需要各种材料,订单下达后晶圆厂发现有的基础材料也开始供不应求,又出现抢紧缺物料现象。“所以现在整个市场碰到的普遍问题是材料无法成套,短板补不足。”罗振球说到。

他认为,新冠疫情造成远程办公、居家学习和娱乐等生活方式的变化,推动数字化加速。有分析显示疫情一年全球完成了过去十年才能达到的数字化进程。数字化的加速加大了很多电子产品的出货量,对芯片的需求也水涨船高,而且性能越好的芯片越受欢迎。

数字化对芯片的需求是真实的,汽车也是。“两年前,大家都认为新冠疫情后汽车销量会变小,但后来却发现取消隔离后大家为了避免乘坐公共交通工具,都希望开车上班,令所有分析师都大跌眼镜。”罗振球说到,“具体到缺芯缓解的拐点,我觉得是非经济因素缓解时,但这不是市场自身可以调节的。”

汽车缺芯是行业缺芯的一个代表。台积电曾表示已采取“前所未有的举措”来帮助车企解决当前芯片短缺问题,包括重新分配产能,将汽车半导体产品的关键组件之一,MCU,2021年的产量比2020年提高了60%。

罗振球表示,台积电看好汽车电子市场还有另一个原因。“电动汽车或智能汽车对于中国汽车行业来说,是一个破坏式创新。在内燃机时代,我们在汽车引擎、底盘、变速箱等领域没有追上欧美和日本车企;然而在电动汽车时代,大家回到了同一个起跑点,对中国汽车行业来说是重塑产业链的绝佳机会。”

为了满足芯片公司和汽车、手机等终端旺盛的需求,台积电先后宣布在美国、日本及中国台湾等地建立新厂,同时宣布了南京厂的扩厂计划,投资上千亿美元。罗振球表示,台积电所有投资建厂的行为都基于市场和客户需求,根本上杜绝了过度投资和产线工艺节点选择错误的情况。“由于在建厂之前就知道选择的工艺,工厂建造和产线入驻的效率能够调到最佳状态,成本也会降到最低。”

2021年4月,台积电决定在南京厂扩产28nm产能,据称这是目前全球范围内最缺的工艺节点。罗镇球介绍到,台积电在南京的扩产目前如期推进,预计在2022年初完成基建后开始装机,2022年第四季度会有产出。

纵观全球集成电路设计公司的数量和分布,中国已经在数量上把其他国家、地区远远甩在身后。“所有的短视经营在半导体行业都应该被否定。”罗振球表示,“中国有如此多芯片设计公司,说明这个市场非常有活力。但一定要经历沉淀的过程,而且沉淀越早发生,越容易把中国半导体产业真正做起来。”

罗镇球也介绍了台积电在节能减排方面的策略:预计在2025年实现碳排放0净增, 2050年达到碳中和。

本土GPU:众人拾柴火焰高

随着近年来中国智能手机、计算机和服务器市场的火爆,让全行业对GPU的需求逐渐增大。同时,发展国产GPU、提升自给率的呼声不断提高,推动国产GPU走上了快车道,涌现出沐曦、芯动科技、摩尔线程、壁仞科技等一批新势力,部分厂商获得了大量资本注入。

芯原股份、沐曦、芯动科技高层阐述了各自的技术路线特点,以及国产GPU未来的生态发展趋势。

作为国产IP第一股的芯原股份,目前是中国第一、全球排名第七的半导体IP供应商,在GPU、DSP等芯片IP授权领域位列全球第三。

在PC市场,GPU已被英特尔、AMD、英伟达几家垄断,手机移动GPU市场则是高通、Arm、Imagination主导,但在汽车电子、物联网等新兴GPU应用领域,芯原占据了可观的市场份额。芯原在GPU IP上投入多年,如今其可扩展的Vivante GPU IP系列应用范围涵盖从低功耗的小型物联网MCU到面向汽车和计算机应用的SoC。其中,Vivante Nano GPU IP系列具备优化的功耗、性能和面积尺寸和最小的OpenGL ES 3.0和3.1解决方案,适用于物联网应用,还拥有小尺寸矢量图形IP和显示控制IP;最新的Arcturus系列图形和计算IP,则能够满足3D图形渲染和计算所需的最佳功耗、性能、面积需求。

芯原股份(VeriSilicon)创始人、董事长兼总裁戴伟民表示,公司已将智能汽车领域列为公司未来发展战略之一,从智慧座舱到自动驾驶技术均有布局。目前芯原的GPU IP已经在汽车上获得了广泛的应用,包括信息娱乐系统、仪表盘、车身环视、驾驶员状态监控系统、ADAS、自动驾驶汽车等。多家全球知名的汽车OEM厂商都采用了芯原的GPU,用于车载信息娱乐系统或是仪表盘。

芯原股份创始人、董事长兼总裁戴伟民

在国产GPU生态问题上,戴伟民介绍了开放标准行业组织科纳斯工业协会(Khronos Group)。2000年成立的Khronos Group是为多媒体处理制定开放标准的行业组织,该协会自成立20多年来,推出过OpenGL(跨平台计算机图形API)、OpenCL(跨平台通用计算API)、OpenXR(开放虚拟与增强现实标准)以及Vulkan等被业界广泛接受的技术标准库。该组织的董事会成员有两家中国厂商,芯原和华为。“介绍Khronos的目的是要告诉大家,除了CUDA以外,还有其他生态可以选择。”戴伟民说到,“但是做GPU要有策略性,选择更好的生态圈实现起来才更容易。”

随着AI应用市场的崛起,GPU的用途开始超越图像处理。由于其技术原理适合批量处理特定信息,而且计算能效远超CPU,人们开始将通用计算GPU(GPGPU)用于图形渲染以外的高性能计算,应用场景包括超级计算机、大数据处理和机器学习等。

沐曦高级销售总监王磊

沐曦高级销售总监王磊表示,GPU市场可以笼统地分为两大块,分别是计算和渲染。“其实,新的一些渲染场景的增强也对计算部分提出了新的需求,甚至渲染与计算也在进行着密切的融合。沐曦以计算为优先切入点,也是考虑到通用计算、机器学习和AI训练方面迫切的市场需求。下一步,沐曦也将推出渲染GPU,全覆盖高性能GPU市场。”

资料显示,沐曦专注于设计针对异构计算等各类应用的高性能通用GPU芯片,产品主要应用方向包含传统GPU及移动应用,人工智能、云计算、数据中心等高性能异构计算领域。

在国产GPU与国外竞品的问题上,王磊认为虽然国货的硬件性能提升速度很快,通过产品迭代门槛已经降低很多,但在不同的客户端或下游市场中,用户担心的往往不是国产GPU性能好不好,而是“好不好用”和“能不能用”。如何让下游客户愿意采用?这是一个生态问题。大部分国产厂商对于生态,都是先做兼容,再考虑自家生态,这对于初创企业来说是比较科学的办法。

具体到GPU产品,涉及到硬件性能和软件生态,沐曦的优势在于创始团队是一支平均拥有15年以上从高性能GPU设计到量产交付全流程经验的全建制团队。沐曦曾表示其GPU将采用5nm工艺技术,全兼容主流GPU生态,采用原创专利保护的可重构GPU架构,突破传统GPU芯片能效瓶颈;采用数据压缩、数据广播以及共享硬件加速单元等先进技术,大幅度优化核心算力能耗比。

芯动科技则把GPU赛道分为三类,分别是AI、双精度浮点计算和渲染。芯动科技的赛道切入点是渲染,再向双精度FP64和AI扩展。芯动科技工程副总裁毛鸣明认为,走渲染这条路开始会比较艰难,因为渲染的复杂程度更大。

渲染领域的业界标准API有OpenGL、OpenGL ES以及Windows的DirectX,而英伟达一手打造的CUDA生态主要用来做AI和计算,也有图形处理开始用CUDA。“虽然渲染从技术层面来说相对复杂,但好处是接口API标准化。英伟达也的确建立了非常庞大的围绕CUDA的生态,AI市场则是他们硬生生开辟出来的道路。”毛鸣明说到。

芯动科技工程副总裁毛鸣明

毛鸣明还表示,现在国产GPU厂商和许多国外的大厂也很支持Vulkan(低开销、跨平台图像处理API)生态。和OpenGL不一样,Vulkan都属于较底层的API标准,可以跟CUDA生态竞争。“Vulkan的发展前景很大。我们希望它不要走OpenGL的老路,有太多的势力参与,众口难调,最后变成非常庞大复杂的API。”

仿真和验证的重要性

各大EDA厂商都在强调仿真验证的重要性以及自家核心优势,尤其是在前端就做更复杂的系统级验证。研发一款芯片对于厂商来说,流片是一笔不小的负担,要保证“一次过”,就要在芯片没流片之前就做好充分的系统级验证。

国微思尔芯资深副总裁林铠鹏认为机器学习的应用给EDA中的验证环节带来了很大帮助,尤其是用在数据编辑、分割、时序、收敛以及寻找最优解的过程中。“国微思尔芯的算法专家也在与高校、学术界进行交流,希望通过机器学习用AI相关算法来提升整个EDA工具和软件性能。对于系统化验证的需求,当前针对3D封装芯片系统以及牵扯到多个NPU、CPU协作系统的验证复杂性都非常大。业界除了通过系统建模来提升验证效率,也会通过分布式或基于云、集群的算法来提高递归验证的效率。”

国微思尔芯资深副总裁林铠鹏

另外,验证占EDA总体算力比重较大,可达到60-70%甚至更多。现在不少系统厂商自行开发SoC,可能真正自研的只是其中一、两个他们擅长的IP,更多是用第三方IP组合,这样要验证其它整合部分、软件和固件之间的匹配度的需求越来越多。鉴于验证任务越来越复杂,设计团队也希望采用不同的验证工具来进行交叉对比确保质量,“所以不少新创EDA公司也选择了验证作为切入点。”

汪晓煜表示,Cadence 硬件仿真平台和原型验证系统提供全面的IP/SoC设计验证、硬件和软件回归以及早期软件开发。它们包括针对快速可预测的硬件调试而优化的Cadence Palladium Z2企业级硬件仿真系统,以及面向高性能数十亿门级芯片的软硬件协同验证而优化的Cadence Protium X2企业级原型验证系统。“软件回归验证要花费机器的资源时间和工程师的分析时间,Cadence系统动力双剑Dynamic Duo能够利用机器资源时间不断做学习,得到初始用例,再通过机器学习运行回归,在覆盖率一样的前提下效率可提升10倍,时间节约10%。”

量产测试也是芯片制造的关键环节,目前日本和美国垄断着ATE测试机台90%的市场。2020年,摩尔精英完成并购了IDM 公司的ATE设备技术,融合海内外专业工程团队并引入其先进的产品和测试工程方法论。

据张竞扬透露,“预计到2022年年底,摩尔精英国产化机台量产后可以覆盖国内市场80%的SoC产品测试,这是我们为突破这个卡脖子领域所做的努力。”

南京:发展半导体的黑马城市

2021年,集成电路设计产业按区域发展情况统计,京津环渤海、长江三角洲和中西部地区继续保持两位数增长,其中南京增长率达到107%,位居全国前列。

另外,2021年预计有413家中国IC设计企业销售超过1亿元人民币,比2020年的289家增加了124家,增长率为42.9%。从分布城市来看,南京2020年只有11家销售过亿企业,2021年迅速成长为拥有52家销售额过亿元企业,跃居全国之首。

南京成功的秘诀:企业是主角,机构负责做好服务。

作为一个缩影,自2016年成立以来,南京集成电路产业中心(ICisC)围绕促进集成电路企业集聚、打造产业生态的目标使命,学习、借鉴了全国集成电路ICC产业基地的发展经验,在公共技术上形成围绕EDA、仪器测试、IP、流片4个领域的服务能力,取得了阶段性的成效,实现了服务从1.0过渡到2.0。

南京ICisC总经理李辉表示,“南京集成电路产业取得这样的成绩,主要是企业凭借自身实力拼搏的结果,南京ICisC主要提供EDA、一起测试、IP和流片方面的帮助。”

南京ICisC总经理李辉

EDA软件服务。提供高性能先进EDA软硬件共享,包括高安全性EDA机房、高吞吐量网络、高计算能力服务器、先进国内外EDA软件。提供7x24小时服务,可以同时支撑500人的实时使用。

仪器设备共享服务。包括逻辑分析仪、频谱仪、网络分析仪、示波器等基础设备,以及硬件加速器、超大规模FPGA开发板、密封测试仓等特色设备。

MPW流片服务。为园区企业建立优质的MPW服务一站式服务(流片信息筛选、流片渠道建立、优先班次、库信息、Mask制作、划片等)、并提供专业便捷流程服务,资源方包括一线Foundry。

IP服务与芯片委托设计服务。为园区企业建立优质的IP一站式服务,与IP原厂建立合作,提供原厂对于企业的直接的技术支持。

谈到流片就不得不提台积电(TSMC)南京厂。南京ICisC是台积电晶圆制造服务联盟的一员,该联盟围绕互助、互动、互补、服务等方面的功能开展工作,重点推进六个协同(晶圆制造、封装测试、EDA工具、政策支持、资本、人才)。

相比北上广深等城市,南京的集成电路产业起步并不算早,然而2020年全国第一所“集成电路大学”在南京正式挂牌。

据报道,短短6年时间,南京江北新区从集成电路产业空白转变为集聚近600家集成电路相关企业,涵盖芯片设计、晶圆制造、封装测试、终端制造等产业链上下游全部环节。

谈到未来布局,李辉表示,“我全程参与了南京包括江北新区集成电路产业从0到目前的建设,当中其实政府机构很少说要布局某个环节,更多的是做好服务,让企业‘野蛮生长,百花齐放’。”

文章来源于:国际电子商情    原文链接
本站所有转载文章系出于传递更多信息之目的,且明确注明来源,不希望被转载的媒体或个人可与我们联系,我们将立即进行删除处理。