英特尔拿到首台2nm光刻机 重回领先地位?

2023-12-28  

12月21日,荷兰巨头通过社交媒体宣布,其首套高数值孔径极紫外(High-NA )正从荷兰Veldhoven总部开始装车发货,将向进行交付。

本文引用地址:

据了解,高数值孔径极紫外成本高达3-4亿美元,组装起来比卡车还大,被分装在250个单独的板条箱中进行运输,共计需要13个大型集装箱。考虑到交货时间和后续安装,真正投入使用还需要数月时间。

640.jpg

数值孔径(NA)是光刻机光学系统的重要指标,直接决定了光刻的实际分辨率和最高能达到的工艺节点。一般来说,金属间距缩小到30nm以下之后,也就是对应的工艺节点超越5nm,低数值孔径光刻机的分辨率就不够了,只能使用双重曝光和/或曝光成形(pattern shaping)技术来辅助,不但会大大增加成本,还会降低良品率。因此,更高数值孔径成为必需。

的高数值孔径极紫外光刻机,将NA值从0.33增加到0.55(所谓的“High-NA”),更强的聚光能力,意味着能够处理更加精细的几何尺寸,同时这也是继续推进半导体制程进化的路线之一。

640.png

在当前芯片代工领域,制程工艺依然是各大代工厂最大的核心竞争力。随着苹果今年已经用上3nm制程的芯片,对于处于落后位置的三星和而言,自然就成为争夺市场领先地位的下一个目标。为了实现下一阶段的工艺制程跨越,阿斯麦TWINSCAN EXE:5000/5200光刻机的上市就成为某种意义上的“破局时刻”。根据规划,将在2024年上半年量产Intel 20A制程,下半年将量产更先进的Intel 18A制程;而、三星都计划将在2025年量产制程。

英特尔瞄准逆转时刻

自英特尔提出IDM2.0战略以来,其路线图目标很明确,着力向“四年五个制程节点”迈进,希望在2025年重新夺回半导体生产领导者地位。其中Intel 20A和Intel 18A分别对应2nm和1.8nm制程,英特尔对此寄予厚望,宣布Intel 20A计划于2024年上半年投入使用,进展良好的Intel 18A也将提前至2024年下半年进入大批量制造,在进度上誓要先发制人。

英特尔CEO帕特·基辛格在Intel Innovation Day论坛上透露,Intel 18A制程目前有许多测试晶圆正在生产中,这一技术已经研发完成,正加速进入生产阶段。其实英特尔最初就计划利用新光刻机投产Intel 18A工艺,早在2022年1月,英特尔便抢先向阿斯麦下定TWINSCAN EXE:5200,直接将下一代光刻机上市作为“超车”的冲锋号,但因为时间上等不及,只能改用已有的0.33 NA NXE:3600D/3800E叠加双重曝光。

集邦咨询的报告显示,阿斯麦将在2024年生产10台新一代High-NA 光刻机,其中英特尔就定了多达6台。因High-NA EUV光刻机与标准EUV光刻机差异不小,提升使用经验需要大量时间修正基础设施,所以领先对手几季部署对英特尔而言是很大的优势。另外,在技术水平上,英特尔也有自己独特优势,Intel 20A/18A将会首发两大突破性技术:RibbonFET和PowerVia。

RibbonFET是英特尔对Gate All Around晶体管的实现,类似多片纳米片堆叠在一起,这样不仅能够缩小尺寸,而且栅极能够更好地控制电流的流通,同时在任意电压下提供更强的驱动电流,让晶体管开关的速度更快,从而提升晶体管的性能;而PowerVia则是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。英特尔通过两大技术的“联合”将可能是新的FinFET时刻 —— 参考英特尔2012年在22nm引入FinFET的荣光。

英特尔称Intel 18A制程不会仅供内部使用,未来还会对外部客户代工。此前基辛格于10月末透露,Intel 18A制程已于Q3敲定了三家晶圆代工客户,预计年底有望签下第四家。

640 (1).jpg

英特尔还向芯片设计公司提供免费测试生产的优惠,以吸引更多的订单。英特尔曾经是芯片制造业的领导者,但近年来在技术上落后于和三星,导致其在PC和服务器市场上失去了部分市场份额。英特尔希望通过Intel 18A芯片重振旗鼓,向其他芯片设计公司提供代工服务,以扩大其业务范围。

业界推测,接下来英特尔或将打破当下台积电独家代工英伟达高性能AI芯片的状况。英伟达首席财务官柯蕾丝(Colette Kress)近日在参加瑞银全球科技大会时暗示,英伟达不排除增加英特尔代工(IFS)作为其晶圆代工供应商,生产新一代芯片。

重回领先地位需要翻过两座大山

无论是老牌劲旅台积电、三星,还是誓言要重回先进制程领先地位的英特尔,都将目光锁定在了实现2nm首发。2nm不仅仅是下一代新制程,更是代工格局迎来重塑的拐点。只不过,谁能折桂这一荣耀?

台积电

多年来,台积电一直是晶圆代工产业的半壁江山,手握英伟达、苹果、高通等下游厂商多数订单,甩了其他竞争对手几个身位。作为行业老大,台积电称将如期在2025年上线2nm工艺,2025年下半年进入量产。据英国金融时报引述知情人士透露,台积电已向苹果和英伟达等重要客户展示N2(即2nm)原型的制程工艺测试结果。在全球芯片大厂争相发展2nm制程之际,台积电再度胜出抢下苹果订单,预计2025年上市的iPhone 17 Pro将率先采用台积电2nm芯片。

2nm可谓是台积电的一个重大节点,将采用纳米片晶体管(Nanosheet),取代FinFET,意味着台积电工艺正式进入GAA时代。针对2nm制程所用设备,台积电还将延续使用EUV光刻机。值得一提的是,台积电于今年9月宣布收购将以不超4.328亿美元的价格收购英特尔旗下子公司IMS,后者专注于研发和生产电子束光刻机,以确保关键设备的技术开发,并满足2nm商用化的供应需求。

三星

三星目前在先进芯片的代工市场份额仅次于台积电,是第一家开始大规模生产3nm芯片的公司,计划在2024年上半年进入第二代3nm制程技术,在2025年年底前推出2nm制程,在2027年年底之前推出1.4nm制程。

同时,三星也是首家跨入并转型环绕式栅极(GAA)晶体管架构的厂商,在3nm制程中率先采用GAA架构,在GAA用于先进制程方面拥有了量产和磨合的先发优势,从3nm进入2nm可能会相对流畅。此外,三星还开发了MBCFET晶体管专利技术,为其2nm工艺竞争力再添筹码。

有消息称三星已拿到了高通的订单,高通下一代高端手机芯片采用三星SF2(2nm)制程生产;同时,三星针对将推出的2nm原型开出折扣价,以吸引英伟达等客户。针对三星在2nm制程采降价抢单的传闻,台积电董事长刘德音向业界表示“客户还是看技术的质量”,透露出对台积电先进制程技术与良率优势的信心。

据悉,三星将在五年内从ASML采购50套设备,每套单价约为2000亿韩元,总价值可达10万亿韩元。此外,ASML于今年12月中旬与三星签署备忘录,将共同投资1万亿韩元在韩国建立研究中心,并将利用下一代EUV光刻机研究先进半导体制程技术。

640 (1).png

不可忽视的变数:先进封装

2nm是工艺的决战,也是先进封装的交锋。先进封装与制程工艺可谓相辅相成,在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,是性能持续提升的重要保障。对于在工艺节点时间上的争夺,先进封装已成为三大巨头“不可忽视”变数。

· 台积电在先进封装上已获得了可观的收入体量,CoWoS的产能大增将十分有利于争取2nm订单,“两手抓”也让台积电的护城河愈加深厚。在AI产能需求持续升级之下,台积电正积极扩充第六代2.5D先进封装技术CoWoS产能,将投资约28亿美元打造先进封装厂,预计2026年底建厂完成、2027年第三季开始量产,月产能达11万片12英寸晶圆,涵盖SoIC、InFO以及CoWoS等先进封装技术。

· 英特尔通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。在今年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越;而且,英特尔也在布局硅光模块中的CPO(共封装光学)技术,以优化算力成本。

· 三星推出的I-Cube封装技术可与台积电CoWoS相抗衡。另外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。

在先进封装领域,目前更加强调的是异构芯片的整合能力,这对于提高芯片性能和效能至关重要。因而,未来2nm性能的比拼也将围绕先进封装这一能力展开。

尽管2nm技术进阶的路径基本相同,巨头们各有伯仲,但考验绝不止首发那么简单,良率、客户粘性和服务均将影响2nm量产的进程。其中,良率可谓至关重要,毕竟2nm制程晶圆代工报价约为24570美元,成本如此之高的情况下低良率是没有出路的。

而影响良率的因素繁多,涉及工艺优化、设计水平、经验等等。在良率能够不断优化提升的前提条件下,如果某家厂商的良率能够达到高于竞争对手一个数量级,那么有可能客户在A家下的单就会转至B家,变数还是很大的。

2nm芯片的竞争不仅是技术上的挑战,也是商业上的博弈。各大芯片制造商都在寻求与客户建立长期的合作关系,以确保其未来的收入和利润。同时,客户也在寻求多元化的供应商,以降低对单一芯片制造商的依赖,以应对全球芯片短缺的危机。

2nm的代工格局走向究竟如何,要看各厂商的“言之凿凿”到底有多少落到实处了。如果一切进度都按照披露的时间线走,那么英特尔还是具有较大的优势,很有可能可以重回领先地位。当然了,这些都是“纸上数据”,均是自说自话,实际还要等到各家的工艺量产后才知道。针对2nm制程的争夺答案将于2025年揭晓,谁能笑到最后,还有待时间的检验。

根据目前的评估,台积电与三星将继续是2nm制程的主要代工厂商,因为他们在此前先进制程的良率和量产规模方面表现出色。英特尔在技术研发方面虽具有一定的优势,但其晶圆代工主要专注于自家产品,对外部客户的合作较为有限,这对突破先进制程的良率和量产稳定性带来了挑战。对于2nm以下先进制程的竞争中,虽然研发最终成果还未具体披露,但从抢单、购买先进设备等动作也可见,各大厂已经在为埃米时代未雨绸缪。

文章来源于:电子产品世界    原文链接
本站所有转载文章系出于传递更多信息之目的,且明确注明来源,不希望被转载的媒体或个人可与我们联系,我们将立即进行删除处理。